CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - Verilog HDL

搜索资源列表

  1. 用Verilog HDL实现I2C总线功能

    2下载:
  2. 用Verilog HDL实现I2C总线功能,对I2C总线有很大帮助-with Verilog HDL I2C bus function of I2C bus is very helpful
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:121607
    • 提供者:胡路听
  1. Verilog HDL设计练习进阶

    2下载:
  2. 初学verilog HDL时 找的好资料 大家共享-Beginners should try to find a good share information
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:681405
    • 提供者:chencsw
  1. Verilog-HDL

    0下载:
  2. 本CD-ROM包括《Verilog-HDL实践与应用系统设计》一书中的全部例子,这些例子全部通过了验证。第七章以后的设计实例,不仅有Verilog-HDL的例子,也附了包括VB、VC++等源程序,甚至将DLL的生成方法也详尽地作了说明。 -the CD-ROM include "Verilog-HDL Practice and Application System Design," a book the whole Examples of these examples w
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:784543
    • 提供者:东子
  1. verilog-hdl

    1下载:
  2. verilog—hdl教程135例大量的数字点电路例子
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:168990
    • 提供者:awake
  1. Computer Architecture Handbook on Verilog HDL

    0下载:
  2. Computer Architecture Handbook on Verilog HDL
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:67003
    • 提供者:路路
  1. SPI in verilog HDL

    0下载:
  2. SPI in verilog HDL
  3. 所属分类:VHDL编程

    • 发布日期:2011-02-26
    • 文件大小:2623163
    • 提供者:110168043
  1. 直流电机的verilog hdl 代码

    0下载:
  2. 直流电机的verilog hdl 代码,适合初学者参考,DC motor verilog hdl code, suitable for beginners reference
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-17
    • 文件大小:5031148
    • 提供者:黄涛
  1. 基于verilog HDL语言的电子钟

    0下载:
  2. 基于verilog HDL语言的电子钟,多功能电子时钟,Verilog HDL language-based electronic bell, electronic multi-function clock
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-28
    • 文件大小:185082
    • 提供者:哈哈
  1. SPIsend.rar

    0下载:
  2. Verilog HDL的程式,上網找到SPI程式, vspi.v這程式相當好用可用來接收與傳送SPI,並且寫了一個傳輸信號測試,spidatasent.v這程式就是傳送的資料,分別為00 66... 01 77...... 02 55這樣的資料,並透過MAX+PULS II軟體進行模擬,而最外層的程式是test_createspi.v!,Verilog HDL programs, Internet find SPI program, vspi.v this very useful progra
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:146157
    • 提供者:Rick
  1. lab.rar

    0下载:
  2. verilog hdl经典例程,全部调试通过,verilogHdl example,all can be used
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3980199
    • 提供者:品十六国
  1. EPM240_SCH_and_program.rar

    0下载:
  2. EPM240 cpld 原理图+程序。 Verilog HDL语言。 程序有正弦波发生器,ADC0804直流采样和显示,汉字滚动,交通灯,键盘,显示程序,计数器等等。,Schematic diagram+ EPM240 cpld procedures. Sine wave generator procedures, ADC0804 DC sampling and showed that Chinese scroll, traffic lights, keyboard, display pro
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:660120
    • 提供者:student88
  1. DDS

    0下载:
  2. 我们小组共了一个月做的DDS,程序核心用的是Verilog HDL,有仿真波形,输出正弦波,方波,及三角波,步进可调.频率范围1HZ--10MHZ-Our group for a month to do a total of DDS, the procedure is used in the core of Verilog HDL, there are simulation waveform, the output sine wave, square wave and triangular wa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:117375
    • 提供者:tiancheng
  1. Advanced-Digital-Design-with-the-Verilog-HDL-CODE.

    0下载:
  2. 《Verilog HDL高级数字系统设计》(Michael D. Ciletti著) Verilog HDL源代码-" Verilog HDL Advanced Digital System Design" (Michael D. Ciletti a) Verilog HDL source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1070296
    • 提供者:曹氏
  1. Verilog HDL Practice

    0下载:
  2. FPGA Verilog HDL程序设计练习进阶,实用的FPGA学习资料。(Practicing of FPGA Verilog HDLprogramming)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-17
    • 文件大小:376832
    • 提供者:ts_ear
  1. Verilog HDL logic programming

    0下载:
  2. FPGA常用逻辑的Verilog HDL语言实现,实用的FPGA开发参考资料。(Verilog HDL programming methods of common FPGA logic)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-18
    • 文件大小:1229824
    • 提供者:ts_ear
  1. Verilog-HDL基础知识非常好的学习教程

    0下载:
  2. Verilog-HDL基础知识非常好的学习教程(Verilog-HDL basic knowledge, a very good learning tutorial)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-01
    • 文件大小:569344
    • 提供者:芮芊
  1. IEEE Standard for Verilog 2005

    0下载:
  2. this book introduces the use of Verilog HDL.
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-09
    • 文件大小:3137536
    • 提供者:^U^
  1. VHDL-和-Verilog-HDL-的区别

    0下载:
  2. The difference between VHDL and Verilog HDL.
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-09
    • 文件大小:1024
    • 提供者:^U^
  1. Verilog的135个经典设计实例

    0下载:
  2. Verilog HDL的13个经典实例。经过验证,值得学习(The 13 Verilog HDL classic examples. After verification, worth learning)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-28
    • 文件大小:112640
    • 提供者:我法提了
  1. VERILOG HDL快速入门 (中文)

    0下载:
  2. 《Verilog HDL入门(第3版)》从语言特点和建模应用两个方面出发,对Verilog语言的基本概念进行了全面深入的讲解,为每一种语言结构提供了大量的例子,并且举例说明了如何使用多种语言结构来构造硬件模型。(Verilog HDL Introduction (Third Edition) "starting from the two aspects of language features and modeling application, the basic concept of
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-30
    • 文件大小:371712
    • 提供者:假假假
« 1 23 4 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com