CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - can verilog

搜索资源列表

  1. sequence_detector(6-state)

    0下载:
  2. 将《Verilog数字系统设计教程》(夏宇闻)一书中第15章的源代码进行了改进,由原来的8状态精简到6状态,同样可以实现要求的功能,对于重叠出现的特定序列也可以检测到。(The source code of Chapter 15 of the Verilog Digital System Design Tutorial (Xia Yuwen) has been improved from the original 8 state to the 6 state, and the required
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-29
    • 文件大小:1024
    • 提供者:digital_wang
  1. pulse

    0下载:
  2. 这是一个方波程序,在quartus平台编写,可以通过设置参数生成方波信号。(This is a square wave program, written in the quartus platform, you can generate square wave signals by setting parameters.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-27
    • 文件大小:3165184
    • 提供者:wangshuo9242
  1. Single_pwm

    0下载:
  2. 这是一个生成pwm波的程序,使用按键改变占空比的大小,通过增加按键的消抖程序能够精确控制占空比的变化。(This is a program to generate pwm wave, use the button to change the size of the duty cycle, by increasing the key of the shaking program can accurately control the duty cycle changes.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-27
    • 文件大小:1002496
    • 提供者:FPGAWY1
  1. sdtest

    0下载:
  2. 这个是一个verilog程序,可以用spi读取sd卡中的内容,存到fifo中(This project can read the data from SD card through SPI interface and store the data in FIFO.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-29
    • 文件大小:13569024
    • 提供者:jyc
  1. i2c_24c64

    1下载:
  2. 基于verilog的i2c接口EEPROM 24lc64的测试程序,包括了eeprom的虚拟模型,实际在硬件上验证没问题,也可以通过modleism进行仿真(Verilog based I2C interface EEPROM 24lc64 testing procedures, including the virtual model of EEPROM, the actual hardware verification is no problem, you can also simulate
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-28
    • 文件大小:6144
    • 提供者:jerrylili
  1. verilog uart v1.0

    0下载:
  2. 基于Verilog语言写的UART模块,非常实用,可以参考,希望共同进步(Based on the Verilog language to write the UART module, very practical, you can refer to, hope to make progress together)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-27
    • 文件大小:2847744
    • 提供者:骑士1234
  1. uart

    0下载:
  2. 实现串口发送和接收功能,数据处理模块可自行修改。(Serial port to send and receive functions, data processing module can modify its own.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-27
    • 文件大小:6144
    • 提供者:Nate777
  1. float_2_int.v

    2下载:
  2. 最全的,最简单,32位浮点数转整数,32位整数转浮点数,直接可以移植,已经测试过好用。(The most complete, the simplest, 32 bit floating-point integer, 32 integer floating point number, can be directly transplanted, has been tested, easy to use.)
  3. 所属分类:VHDL/FPGA/Verilog

  1. can_loopback_test

    0下载:
  2. 实现了can控制器Verilog编程使用niosII 开发平台(Can controller Verilog programming, the use of niosII development platform)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-29
    • 文件大小:14640128
    • 提供者:电中生
  1. avalon-i2c

    0下载:
  2. 基于verilog的I2C实现,可以通过软核或者ARM核进行控制哦。(The implementation of I2C based on Verilog can be controlled by soft core or ARM core)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-31
    • 文件大小:17408
    • 提供者:shiyangcool
  1. Xilinx新一代FPGA设计套件Vivado配套资料

    2下载:
  2. verilog经典教程,入门者的必选书籍,非常实用,可以学习到很多的知识(verilog classic tutorial, entry must be books, very practical, you can learn a lot of knowledge)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-01
    • 文件大小:65455104
    • 提供者:echozlx
  1. Verilog_HDL时序篇 教程及代码

    0下载:
  2. 对于verilog时序篇较好的一套学习资料,附有源代码及工程文件,可跟着教程自学(A good set of learning information for Verilog timing chapter, with source code and engineering documents, you can follow the tutorial self-study)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-01
    • 文件大小:60368896
    • 提供者:UestcCoder
  1. de2_build

    0下载:
  2. De2_build: It contains the FPGA configuration file of the comprehensive Nios II system in Section 16.10.2 and software image files for the DE2 board. These files can be used for quick demo or software development. Note that the files can only be us
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-01
    • 文件大小:1405952
    • 提供者:davido
  1. source code

    0下载:
  2. 2.6'TFT_LCD驱动源程序,可以在quartusII平台上直接运行(2.6'TFT_LCD driver source program, you can run directly on the quartus II platform)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-01
    • 文件大小:52224
    • 提供者:芮芊
  1. jingxiang_beipin

    0下载:
  2. 实现编码器鉴向和4倍频,可用于电机测速等。(To achieve encoder and 4 times the frequency, can be used for motor speed and so on.)
  3. 所属分类:VHDL/FPGA/Verilog

  1. ve_lab

    0下载:
  2. verilog语言实现智能交通灯控制系统,除现有交通灯系统基本功能以外,还包括未来交通可能出现的一些需要智能控制的情况进行自定义规则(比如检测车流量来控制交通灯持续时间,高峰期主干道绿灯时间将加倍等规则)(The project was completed by myself about two months ago. I think it will be useful for traffic control system.But there are many points needed to
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-03
    • 文件大小:2674688
    • 提供者:沈浩
  1. uart_test

    0下载:
  2. 通过FPGA,实现串口传输数据,并且可以支持多种不同的波特率,用EP4CE22F17芯片实现。(Through the FPGA, serial transmission data, and can support a variety of baud rates, using EP4CE22F17 chip implementation.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-06
    • 文件大小:2048
    • 提供者:y1a2n3g4
  1. vga_7_0728

    1下载:
  2. 用vga显示数字钟,通过串口可以控制时间显示(With vga digital clock, through the serial port can control the time display)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-06
    • 文件大小:12918784
    • 提供者:wuyezhiyue
  1. display_1

    0下载:
  2. veilog程序可以在fpga上完成数字钟程序(Verilog program can be completed on the digital clock fpga procedures)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-06
    • 文件大小:614400
    • 提供者:wuyezhiyue
  1. 5 +3

    0下载:
  2. FPGA发送SOS呼救,按键可以发送信号,复位停止发送(FPGA sends SOS to save, key can send signal, reset to stop sending)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-06
    • 文件大小:3671040
    • 提供者:温酒清禾
« 1 2 ... 11 12 13 14 15 1617 18 19 20 21 ... 50 »
搜珍网 www.dssz.com