CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - can verilog

搜索资源列表

  1. clock

    1下载:
  2. 自己开发的电子时钟小程序,通过数码管显示时间,key1和key2控制校时校分,key3切换时钟模式和闹钟模式,切换到闹钟模式再按key1和key2即可设定闹钟时间。key4控制开启/关闭闹钟。有整点报时功能。(Self developed electronic clock applet, through the digital tube display time, key1 and key2 control time correction, Key3 switch clock mode and
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-07
    • 文件大小:9338880
    • 提供者:军犬
  1. Xilinx的增量编译技术

    0下载:
  2. 增量编译技术,其基本原理就是根据前一次编译的结果,只重新编译部分修改过设计,其它部分则沿用前一次编译的结果,这样就可以缩短总体的编译时间(Incremental compilation technology, the basic principle is based on the results of the previous compilation, only re-editing part of the modified design, the other part is based on
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-07
    • 文件大小:68608
    • 提供者:小旦
  1. ADC_Data_Recv_Module

    2下载:
  2. 接收机测试输入信号, 生成正余弦波,采样率、频率、幅度、相位可调节 并将生成的数据进行输出 压缩包包括Verilog代码、testbench代码、word文档 matlab仿真代码(The receiver tests the input signal, Generation of positive cosine wave, sampling rate, frequency, amplitude, phase can be adjusted And output the generated da
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-07
    • 文件大小:512000
    • 提供者:nokkk
  1. Verilog_1Gb_DDR3_G_Die

    0下载:
  2. ddr3控制器,速率可达1Gbps,语言使用verilog,已经加入tb(ddr3 controller, can be used to ddr3 control,high speed)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-08
    • 文件大小:33792
    • 提供者:aikannba
  1. Module基础全集

    0下载:
  2. 如题,各种veirlog 基础代码大全,虽功能不及ip核,但却可以学习到很多(For example, all kinds of veirlog base code, though not as functional as IP core, can learn a lot)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-08
    • 文件大小:32768
    • 提供者:halibote
  1. 帧同步

    0下载:
  2. 这是一个可以实现帧同步的编码,应用verilog编码(This is a coding that can implement frame synchronization, using Verilog coding)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:23552
    • 提供者:羽霜梦琳
  1. ef48dc75a9a60030c622898a19b0f2d6 (1)

    0下载:
  2. 内有关于循环码的编码器的程序语言,可用quartus ii打开(There is a program language on the encoder of the loop code, which can be opened with Quartus II)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:15360
    • 提供者:羽霜梦琳
  1. ADC0804

    0下载:
  2. 控制ADC0804的verilog 代码,cpld/fpga都可以使用,用数码管显示ADC采集的二进制数据。(Control ADC0804 verilog code, cpld / fpga can be used to display the ADC digital tube with the binary data collected.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:1024
    • 提供者:w74177
  1. lcd5110_耗费资源少

    0下载:
  2. Quartus II 项目,可驱动LCD5110液晶显示屏。(This zip file contains a quartus ii project, which can driven the LED screen LCD5110.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-19
    • 文件大小:4725760
    • 提供者:蝠蝙
  1. ddr3_mig8

    0下载:
  2. fpga实现ddr数据收发测试,完整的工程,下载解压后,即可正确运行,已多次验证无误(FPGA DDR data receive and receive test, complete engineering, download and unzip, can run correctly, has been verified many times)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-20
    • 文件大小:16119808
    • 提供者:大木瓜
  1. CAN_verilog.tar

    0下载:
  2. CAN 2.0协议控制器,非常全面的控制器Verilog代码,可靠通信,可放心使用。(CAN Bus 2.0 Controller.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-20
    • 文件大小:33792
    • 提供者:乔风
  1. CH14_RGMII_UDP_TEST

    0下载:
  2. 用xilinx的SPARTAN6 实现的UDP,可通过PC机网络抓包工具进行发送和接收,增加了网络视频传输的接口,具有很好的参考价值(With the Xilinx implementation of the SPARTAN6 UDP, can be sent and received through PC network capture tools, increase the network video transmission interface, has a good reference
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-20
    • 文件大小:7115776
    • 提供者:suifeg
  1. gtx_aurora_zc706_example

    2下载:
  2. Aurora 8B/10B协议是Xilinx公司针对高速传输开发的一种可裁剪的轻量级链路层协议,通过一条或多条串行链路实现两设备间的数据传输。协议Aurora协议可以支持流和帧两种数据传输模式,以及全双工、单工等数据通信方式。(The Aurora 8B / 10B protocol is a tailor-made lightweight link layer protocol developed by Xilinx for high-speed transmission that enabl
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-20
    • 文件大小:34766848
    • 提供者:独白惠茹
  1. clkdiv

    0下载:
  2. 该模块是一个常用的clk分频器;其内部参数可以动态调整!(This module is a common CLK frequency divider; its internal parameters can be dynamically adjusted!)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-21
    • 文件大小:944128
    • 提供者:wybingo27
  1. UART

    0下载:
  2. Verilog写的UART 协议。可用于FPGA RS232接口实现。(The UART protocol written by Verilog. It can be used for the implementation of the FPGA RS232 interface.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:1024
    • 提供者:Gavin_Wang
  1. eeprom_test_Verilog

    0下载:
  2. eeprom工程,实现了基本的读写,供参考。工程使用的IDE为“ISE Design Suite 14.7”,使用时可根据自己硬件,修改引脚配置和逻辑可控制。(EEPROM project, the realization of the basic reading and writing for reference. The IDE used in the project is "ISE Design Suite 14.7", which can be used to mod
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-21
    • 文件大小:159744
    • 提供者:shaoyang_v
  1. uart_test_Verilog

    0下载:
  2. 用verilog实现了uart功能的demo工程。工程使用的IDE为“ISE Design Suite 14.7”,使用时可根据自己硬件,修改引脚配置即可。(The demo project of UART function is realized with Verilog. The IDE used in the project is "ISE Design Suite 14.7", which can be used to modify the pin configura
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-21
    • 文件大小:128000
    • 提供者:shaoyang_v
  1. ECC

    0下载:
  2. 基于汉明码的ECC纠错算法,可纠错1位,供参考(An ECC error correction algorithm based on hamming code can be used for reference)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-21
    • 文件大小:1024
    • 提供者:一粒尘埃
  1. 2bit_ecc

    0下载:
  2. 基于BCH码的ECC纠错算法,可纠错2位错误码,供参考(Based on BCH code ECC error correction algorithm, two error codes can be corrected for reference.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:24576
    • 提供者:一粒尘埃
  1. ov7670

    0下载:
  2. 摄像头ov7670的驱动开发。可通过sccb配置寄存器来选择VGA,QVGA,QQVGA输出。(The driver development of the camera ov7670.The SCCB configuration register can be used to select VGA, QVGA, and QQVGA output.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:8397824
    • 提供者:过客3944
« 1 2 ... 12 13 14 15 16 1718 19 20 21 22 ... 50 »
搜珍网 www.dssz.com