CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - can verilog

搜索资源列表

  1. T6_SRAM

    0下载:
  2. 学习SRAM编程,可以运行,对学习verilog很有帮助-Learning SRAM programming, you can run verilog helpful in learning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1875533
    • 提供者:李雷
  1. signal_generator

    0下载:
  2. 信号发生器 可以通过该程序产生对应的波形 用Verilog语言编写实现 希望能对大家有帮助-The signal generator can generate through the program corresponding to the waveform using the Verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:429151
    • 提供者:于梦磊
  1. clock_2

    0下载:
  2. verilog hdl 时钟程序,数码管显示,并可设置闹钟-verilog hdl clock program, the digital display, and can set the alarm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1057838
    • 提供者:sujy
  1. demo110

    0下载:
  2. 状态机,检测状态110,小演示程序,可直接运行,verilog hdl-State machine, the detection state 110, a small demo program can be run directly, verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:957
    • 提供者:
  1. LCD

    0下载:
  2. verilog实现的在1602LCD上实现的时钟计数器,可以显示一个电话号码和动态时钟,在EP2C8上测试过-verilog achieve 1602LCD on the clock counter, you can display a phone number, and dynamic clock, tested on the EP2C8
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1899
    • 提供者:宋伟杰
  1. rotary

    0下载:
  2. 采用verilog语言编写的rotary encoder程序,可以识别出旋转方向。-Rotary encoder verilog language program, you can identify the direction of rotation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:700
    • 提供者:
  1. ste_svpwm

    3下载:
  2. 实用Verilog编写的SVPWM程序,产生出SVPWM波形,可用于实现同步电机或者异步电机的空间矢量控制算法。-Practical Verilog of SVPWM written procedures, resulting in the SVPWM waveform can be used to implement the space vector control algorithm of the synchronous motor or induction motor.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-07-29
    • 文件大小:3335168
    • 提供者:zhouming
  1. EPM240-board

    0下载:
  2. 基于EPM240的入门实验拥有大量的实验历程完全可以学习掌握Verilog语言。-Based on the the EPM240 entry experiments have a large number of experimental course can learn to master the Verilog language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-25
    • 文件大小:1527808
    • 提供者:gcy
  1. uart_trans

    1下载:
  2. 使用Verilog语言编写的多字节串口发送程序,可以同时发送56个字节。-Multi-byte serial port to send a program using Verilog language, you can also send 56 bytes.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-05-09
    • 文件大小:1024
    • 提供者:
  1. pwm_out

    0下载:
  2. 用verilog hdl编写的高效PWM模块,可以通过键盘控制占空比和周期,并在数码管上得以显示-Verilog hdl write efficient PWM module can be controlled through the keyboard duty cycle and cycle and can be displayed on the digital tube
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:417772
    • 提供者:钱世俊
  1. frequency

    0下载:
  2. 能够检测方波正弦波以及锯齿波的频率,并且以及试过可以运行,采用的开发环境是ISE,编程语言是Verilog-Able to detect a square wave frequency of the sine wave and sawtooth wave, and as well tried can run the development environment is the ISE, the programming language is Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:681575
    • 提供者:Owen
  1. FA161_LCD_display

    0下载:
  2. 联华众科FA161的开发板上实现LCD显示的一个工程文件,编程语言Verilog。可以在LCD上显示按键值。-Lianhua Zhongke FA161 development board LCD display, a project file, programming languages ​ ​ Verilog. The key values ​ ​ can be displayed on the LCD.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:535626
    • 提供者:冬瓜
  1. PIC16C54)

    0下载:
  2. PIC16C54, verilog it can help you. it is a final termproject source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5845577
    • 提供者:mewmew
  1. manchester_verilog

    0下载:
  2. 曼彻斯特码生成器(Verilog源代码),可以在FPGA上进行验证。-Manchester code generator (Verilog source code), and can be verified on a FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:10619
    • 提供者:zsan
  1. DE2_70_NIOS_10_flash

    0下载:
  2. 首先将此Verilog程序下载到DE2-70开发板上后,然后用NiosII软件将任何文件的二进制数据写入到ssram或者sdram等存储器重去,并可以指定起始地址。-First program this Verilog downloaded to the DE2-70 development board, and then the use NiosII software binary data of any file written to memory such as ssram or sdra
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-18
    • 文件大小:1620637
    • 提供者:boyzone
  1. FPGA_IIC

    1下载:
  2. 这是我编写的FPGA控制IIC的程序,用来配置型号为24C02的EEPROM,已经通过验证。-This is my own Verilog HDL program for IIC control, it can configure the EEPROM named 24C02, and the program have been tested.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-18
    • 文件大小:2290
    • 提供者:陈波
  1. shejilegeshangxiazidongkongzhi

    0下载:
  2. Verilog 的设计的程序。反复看了很久,电梯设计很是实用性强的一个程序,现在分享给大家,很多实验室做设计的时候需要,希望可以用到-The Verilog design program. Repeatedly looked for a long time, elevator design is very practical program for everyone now share many laboratory design needs can be used
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:1148
    • 提供者:wuliang
  1. ImageRotate

    1下载:
  2. verilog实现图像旋转,可终合,并带有Testbench-verilog image rotation, and can be a final, and with Testbench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:1702
    • 提供者:郑蔚
  1. vga_char

    0下载:
  2. verilog实现vga接口,可以在显示器上显示一个字符,具体显示什么字符可以按自己喜好更改相应数据。-verilog vga interface, a character on the display, and specifically what characters can change the corresponding data according to their own preferences.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:1562
    • 提供者:胡峰
  1. verilog_ps2

    0下载:
  2. verilog调通ps/2接口键盘,用键盘测试过,可以用。-ps/2 interface keyboard verilog tune pass the test can be used with the keyboard.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:4379
    • 提供者:胡峰
« 1 2 ... 34 35 36 37 38 3940 41 42 43 44 ... 50 »
搜珍网 www.dssz.com