CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - can verilog

搜索资源列表

  1. uart_state

    0下载:
  2. 基于状态机编写的串口通信实验,编程语言是Verilog HDL,可发送八位数据,在Altera的EP4CE15F17C8芯片上验证成功。(与另一个发送256位不同的是这个代码比较突出状态机的使用)。-Prepared by the serial communication experiment based on state machine, the programming language is Verilog HDL can transmit eight bits of data, verif
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-11
    • 文件大小:3310397
    • 提供者:xuxinchuan
  1. clock

    1下载:
  2. 用 Verilog HDL 设计一个多功能数字钟,包含以下主要功能: 1) 计时,时间以 24 小时制显示; 2) 校时; 3) 闹钟:设定闹钟时间,可利用 LED 闪烁作为闹钟提示; 4) 跑表:启动、停止; 5) 其他。-Using Verilog HDL design a multi-functional digital clock contains the following main functions: 1) time, the time is displayed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:1234709
    • 提供者:毛洋
  1. LCD_1602

    0下载:
  2. verilog lcd1602模块代码,只要往里面输入数据即可显示。-The verilog lcd1602 module code, as long as it is entered, input data can be displayed.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:1756
    • 提供者:赖焕宁
  1. 24xiaoshijishuqi

    1下载:
  2. 用verilog编写的24小时计数器,可以用作电子时钟,简单易懂。-Written in verilog 24 hour counter, which can be used as electronic clock, easy to understand.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-03
    • 文件大小:1022701
    • 提供者:龙树东
  1. cmosmt9m001_model

    1下载:
  2. 该verilog程序是型号为mt9m001的cmos图像传感器的仿真模型,能够输出频率为30Hz不同分辨率的图像。-This code is the simulation model of mt9m001 cmos sensor,it can output 30Hz and different resolution figure.
  3. 所属分类:VHDL编程

    • 发布日期:2013-05-20
    • 文件大小:5077
    • 提供者:江豪
  1. canbus

    0下载:
  2. 此例参照SJA1000CAN通信控制器,通过CAN总线控制器完成CAN总线的通信协议。所传文件为CAN总线的VERILOG代码。-This reference SJA1000CAN communication controller, to complete the communication protocol of CAN bus through the CAN bus controller. The transfer document for the CAN bus VERILOG code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:1097459
    • 提供者:张彦钦
  1. vga

    0下载:
  2. 此例程为基于FPGAVGA/LCD显示控制的实例,用Verilog语言实现。代码中有详细注释。并有相应的仿真代码,可以验证其功能完整性。-This routine for the FPGAVGA/LCD display control based on examples, using Verilog language. The code has detailed notes. And a simulation code corresponding, can verify its function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:137980
    • 提供者:张彦钦
  1. FPGA

    0下载:
  2. 一些verilog语言程序,可在板子上实现流水灯,计数,按键等功能。-Some verilog language program, can be achieved on the board flowing water light, count, buttons, and other functions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:2227785
    • 提供者:王先生
  1. MDIO

    0下载:
  2. 网络PHY88E1111的 寄存器 通讯协议的 verilog描述 能实现 lookback 能读出PHY的资料-The register communication protocol Verilog descr iption of the network PHY88E1111 lookback can read the PHY data
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-10-30
    • 文件大小:1325
    • 提供者:tianfuhe
  1. signal-generator

    0下载:
  2. Design of DDS signal generator based on VHDL+FPGA, has been through the adjustable, can be directly used, simulation -DDS signal generator circuit design, Verilog source code, can be directly used, simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-08
    • 文件大小:2070174
    • 提供者:李静璐
  1. i2c

    0下载:
  2. I2C总线协议的verilog 可直接应用 -I2C bus protocol verilog can be applied directly
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:973311
    • 提供者:毕禹昕
  1. ADC_TCL5510

    0下载:
  2. 用verilog编写的源代码 可以对此芯片进行相关操作-Written in verilog source code can be related to the operation of this chip
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:624
    • 提供者:ren bk
  1. IIC_EEPROM

    0下载:
  2. 这是FPGA用Verilog写的IIC协议,可以对存储器进行简单的读取。-This is the FPGA using Verilog IIC protocol, you can perform simple memory read.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:3893072
    • 提供者:胡刚
  1. verilogvga

    0下载:
  2. 这是FPGA用Verilog写的VGA显示程序。可以显示一个矩形框和一个小矩形。-This is the FPGA using Verilog VGA display program. You can display a rectangular box and a small rectangle.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:240526
    • 提供者:胡刚
  1. project2_2

    0下载:
  2. 7段译码管,用于显示数字,HDl verilog语言编写,能在DE2上运行-7 segment decoder tube used to display numbers, HDl verilog language, can be run on the DE2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:267130
    • 提供者:董凯明
  1. jianyijiafaqi

    0下载:
  2. 采用MAX+PlusII工具编辑设计的Verilog程序设计的简易加法器。可实现10以内的加法计算-Using MAX+PlusII tools to edit the design of Verilog design of a simple adder. Can be realized within 10 addition calculation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-24
    • 文件大小:1090397
    • 提供者:阿凡提
  1. Odd-Frequence-Dividing-Circuit

    0下载:
  2. 一种奇数分频电路的设计方法,采用verilog HDL描述。修改代码中参数可以进行任意奇数分频,包含了设计文档和源代码。-A design of odd frequence dividing circuit is presented, which is described by verilog HDL。Change the parameter in code, one can get any odd numbers of frequence dividing circuit.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:96447
    • 提供者:zhouwen
  1. can_exm1_sys

    0下载:
  2. CAN总线的数据采集,FPGA到USB。verilog hdl语言。-CAN bus data acquisition, FPGA to the USB. verilog hdl language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:756367
    • 提供者:xiaolou
  1. alarm

    0下载:
  2. 利用vhdl和verilog两种方式可以实现的fpga芯片的数字钟,其中包含多个可设计改动的个性化模块。源代码利用quartusii平台写作,可移植性很强。-Using vhdl and verilog fpga can be achieved in two ways-chip digital clock, which includes several design changes personality module. Source code using the platform quartu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:320540
    • 提供者:程煜河
  1. I2C-code

    0下载:
  2. I2C总线协议 Verilog源代码.试过,没有错误!可以直接使用-I2C bus protocol Verilog source code. Tried, no errors! Can be used directly
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-03
    • 文件大小:8920
    • 提供者:奥蕾
« 1 2 ... 37 38 39 40 41 4243 44 45 46 47 ... 50 »
搜珍网 www.dssz.com