CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - cpu设计

搜索资源列表

  1. booth_mul

    2下载:
  2. 一种可以完成16位有符号/无符号二进制数乘法的乘法器。该乘法器采用了改进的Booth算法,简化了部分积的符号扩展,采用Wallace树和超前进位加法器来进一步提高电路的运算速度。本乘法器可以作为嵌入式CPU内核的乘法单元,整个设计用VHDL语言实现。-a 16 to be completed with symbols / unsigned multiplication of the number of binary multipliers. The multiplier used to impr
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:19758
    • 提供者:李鹏
  1. addch1

    0下载:
  2. 用vhdl语言设计CPU中的一部分:加法器的设计,包括多种加法器的设计方法!内容为英文-design using VHDL language part of the CPU : Adder design, Adder including multiple design! As for the English
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:392983
    • 提供者:qindao
  1. mul6

    0下载:
  2. 用vhdl语言设计CPU中的一部分:乘法器的设计,包括多种乘法器的设计方法!内容为英文-design using VHDL language part of the CPU : multiplier design, Multiplier including multiple design! As for the English
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:463599
    • 提供者:qindao
  1. mlite.tar

    0下载:
  2. Plasma IP Core 你可以利用这个组件在FPGA中设计MIPS结构的CPU -Plasma IP Core You can use this component in FPGA design the structure of MIPS CPU
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:100364
    • 提供者:xinyang
  1. 8051core

    0下载:
  2. 基于vhdl的51内核的程序设计,可以进行编译,稍微修改就可以成为自己订制的软cpu.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1148310
    • 提供者:贾晓东
  1. simple_MCU

    1下载:
  2. 设计CPU方法及流程!VERILOG hdl
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:209275
    • 提供者:正中
  1. simplecpu

    0下载:
  2. 介绍使用VHDL设计一个简单cpu,文档包含说明文档,对vhdl的学习非常有用。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:80315
    • 提供者:林小彬
  1. alu181

    0下载:
  2. alu运算器vhdl代码,介绍了16中运算方法,可用于cpu的设计中
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:905
    • 提供者:赵心
  1. LC3-VHDL-another

    0下载:
  2. 另一套LC3 CPU VHDL源码及设计文档,对LC3进行了一些取舍和改造,比如NZP改为NZC,更贴近现实CPU硬件架构。按照ASM进行VHDL编码,更适合数字设计初学者学习。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:809247
    • 提供者:guo
  1. risc_cpu

    0下载:
  2. 这是一个Verilog HDL编写的RISC cpu的程序,该程序共10个子程序,实现了简单的RISC cpu,可供初学者参考,学习硬件描述语言,及设计方法。该程序通过了modelsim仿真验证。-This is the RISC cpu code which writed by Verilog HDL.This code has ten subprogram which came true the simple RISC cpu. Beginner can reference this e
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:44088
    • 提供者:施向东
  1. cpu_vh

    0下载:
  2. 一个大学计算机组成原理CPU的课程设计,比一般的CPU的课程设计多了几种寻址方式,总共六种寻址方式,对CPU的内部问题能有很深的了解。-Principles of Computer CPU of a university curriculum design, the CPU than the average of several courses designed to address multi-mode, a total of six addressing modes, the CPU'
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1374888
    • 提供者:
  1. Chapter10

    1下载:
  2. 第十章的代码。 本书通过100多个模块实例,详细地讲解了Verilog HDL程序设计语言,全书共分13章,内容涉及VerilogHDL语言基本概念、建模、同步设计、异步设计、功能验证等,实例包括各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模块、加密模块、ATA控制器、8位RISC-CPU等及各个实例模块相
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-15
    • 文件大小:6871574
    • 提供者:xiao
  1. cpu2

    0下载:
  2. 另一个简单的16位VHDL的CPU程序~~~包含简单的加减乘除移位等操作,适用于课程设计-Another simple VHDL' s CPU 16-bit program ~ ~ ~ contains simple calculation shift and other operations for course design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1862685
    • 提供者:liuying
  1. nios_II_lab

    0下载:
  2. 采用nios2的嵌入式数字钟的设计与实现,首先使用quartus2中的sopc builder设计CPU内核,然后在nios2中庸C语言来实现数字钟的功能-The use of embedded digital clock nios2 the design and realization of the first to use quartus2 in sopc builder design CPU core, and then nios2 Zhongyong C language to real
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:66515
    • 提供者:齐磊
  1. computer4

    0下载:
  2. 基于FPGA的CPU核及其虚拟平台的设计与实现-FPGA-based CPU core and its virtual platform design and implementation of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:6059638
    • 提供者:steven
  1. computer7

    0下载:
  2. 一款8位Turbo-51的CPU软核的设计-An 8 Turbo-51' s soft-core CPU design ....
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:3966167
    • 提供者:steven
  1. POC

    1下载:
  2. 东南大学学生数字系统设计实验:用VHDL语言编写Printer与CPU互连的接口程序-Southeast University students in the experimental digital system design: VHDL language with Printer and CPU interface interconnection procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:714
    • 提供者:田华梅
  1. verilog_design_a_simple_cpu

    0下载:
  2. 用verilog设计一个简单的cpu系统-Verilog design with a simple cpu system
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:730455
    • 提供者:jiangp
  1. NIOS_JTAG_UART

    0下载:
  2. FPGA开发板上的JTAG——UART完成的工程设计,包括CPU内核设计合软件设计-FPGA development board JTAG- UART completed the engineering design, including the CPU core design combined software design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:7813810
    • 提供者:张一
  1. CPU_Address_Decode

    0下载:
  2. MAXPLUSII 下CPU地址译码程序,结合PC104模块ISA总线进行设计。-cpu address decode
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:150382
    • 提供者:zhusq
« 1 2 ... 4 5 6 7 8 910 11 12 13 »
搜珍网 www.dssz.com