CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - data process

搜索资源列表

  1. 5555

    1下载:
  2. 微波炉定时器集成电路的设计 1、 控制状态机:工作状态状态转换。 2、 数据装入电路:根据控制信号选择定时时间、测试数据或完成信号的装入。 3、 定时器电路:负责完成烹调过程中的时间递减计数和数据译码供给七段数码显示,同时还可以提供烹调完成时间的状态信号供控制状态机产生完成信号。 -microwave timer IC design a control state machine : state of the state conversion work. 2, data l
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:306217
    • 提供者:吴倩
  1. 9.2_LCD_PULSE

    1下载:
  2. 基于Verilog-HDL的硬件电路的实现 9.2 具有LCD显示单元的可编程单脉冲发生器   9.2.1 LCD显示单元的工作原理   9.2.2 显示逻辑设计的思路与流程   9.2.3 LCD显示单元的硬件实现   9.2.4 可编程单脉冲数据的BCD码化   9.2.5 task的使用方法   9.2.6 for循环语句的使用方法   9.2.7 二进制数转换BCD码的硬件实现   9.2.8 可编程单脉冲发生器与显示单元的接口
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5267
    • 提供者:宁宁
  1. T2_USB_IN.rar

    0下载:
  2. usb芯片cy7c68013从fpga中读入数据的演示程序,verilog语言,CY7C68013 chip usb read from the FPGA into the data presentation process, verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:246550
    • 提供者:ones
  1. USB_FPGA_FOR_SRAM-control

    0下载:
  2. 此程序完成PC上位机通过USB与板上SRAM进行的数据传输交换,有CY7C68013A的SALVE_FIFO的完整固件及FPGA的SRAM驱动程序,并已调通可用了。-This process is complete PC via USB and PC-board SRAM for data transfer exchange, complete with CY7C68013A of SALVE_FIFO of SRAM FPGA firmware and drivers, and has bee
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-01
    • 文件大小:13531812
    • 提供者:dengxining
  1. cnt8bc

    1下载:
  2. 8位加减带异步复位计数器,使用双向输入管脚- Design an 8-bit up and down synchronous counter in VHDL with the following features: The same ports are used for signals to be inputted and outputted. The ports are bi-directionally buffered. The counter is with an asynch
  3. 所属分类:VHDL编程

    • 发布日期:2012-11-13
    • 文件大小:878
    • 提供者:fjmwu
  1. 65filter

    0下载:
  2. 65位FIR数字滤波器的设计~~其中有通过仿真得出得数据 ~可以通过数据输入完成滤波实验~对数字滤波器得整个算法进行了分析包括输入分组相加 然后相乘得过程-65 FIR digital filter design ~ ~ with simulation data to come in through the importation of data from experiments completed filtering of the digital filter in the whole alg
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:2972
    • 提供者:凌燕
  1. freq

    1下载:
  2. 智能频率计 1. 频率测量范围为1Hz~1MHz 2. 当频率在1KHz以下时采用测周方法 其它情     况采用测频方法.二者之间自动转换 3. 测量结果显示在数码管上,单位可以是Hz(H)、    KHz(AH)或MHz(BH)。 4. 测量过程不显示数据,待测量结果结束后,直接显示结果。 -Intelligent frequency meter 1. Frequency measurement range of 1Hz ~ 1MHz 2. When th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-11-09
    • 文件大小:238680
    • 提供者:谭超
  1. rs232_rec5

    0下载:
  2. VHDL语言实现的穿行通讯,可实现闭环操作,通讯过程中每个bit位采样3次,保证数据准确。-VHDL language achieved through communication, can realize the closed-loop operation, communication process each bit digital sampling 3 times to ensure accurate data.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:542308
    • 提供者:
  1. fpga.fifo

    1下载:
  2. 异步FIFO是用来适配不同时钟域之间的相位差和频率飘移的重要模块。本文设计的异步FIFO采用了格雷(GRAY)变换技术和双端口RAM实现了不同时钟域之间的数据无损传输。该结构利用了GRAY变换的特点,使得整个系统可靠性高和抗干扰能力强,系统可以工作在读写时钟频率漂移达到正负300PPM的恶劣环境。并且由于采用了模块化结构,使得系统具有良好的可扩充性。-Asynchronous FIFO is an important module which always used to absorb the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:82017
    • 提供者:雷志
  1. chuanbingzhuanhuan

    0下载:
  2. 这个并串转换代码是依靠同步状态机来实现其控制的。其实并串转换在实际的电路中使用还是比较多的,尤其在通信线路方面的复用和分解方面,原理上就是一个串并转换和并串转换的过程。举个简单的例子,计算机串口发送数据的过程,如果满足发送条件了,其实就是一个并串转换的过程了。好了,废话不说,看代码就是。 -And the string conversion of the code is relying on the synchronization state machine to achieve its c
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1009
    • 提供者:盛忠良
  1. shukongfenpin

    0下载:
  2. 数控分频器的输出信号频率为输入数据的函数。用传统的方法设计,其设计过程和电路都比较复杂,且设计成 果的可修改性和可移植性都较差。基于VHDL 的数控分频器设计,整个过程简单、快捷,极易修改,可移植性强。他可利用 并行预置数的加法计数器和减法计数器实现。广泛应用于电子仪器、乐器等数字电子系统中。-NC divider output signal frequency is a function of input data. Using traditional methods of desig
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:173768
    • 提供者:邱颖
  1. HDB3encoder

    0下载:
  2. 数字基带信号的传输是数字通信系统的重要组成部分。在数字通信中,有些场合可不经过载波调制和解调过程,而对基带信号进行直接传输。采用AMI码的信号交替反转,有可能出现四连零现象,这不利于接收端的定时信号提取。而HDB3码因其无直流成份、低频成份少和连0个数最多不超过三个等特点,而对定时信号的恢复十分有利,并已成为CCITT协会推荐使用的基带传输码型之一。为此,本文利用VHDL语言对数据传输系统中的HDB3编码器进行了设计。-Digital baseband signal transmission i
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:108478
    • 提供者:shashou
  1. VHDL

    0下载:
  2. VHDL语法入门 1.1 VHDL程序构件 1.2 文法规则 1.3 数据对象及类型 1.4 运算符与表达式 1.5 VHDL语句 1.6 进程与子程序 1.7 资源库与程序包-Introduction to VHDL syntax 1.2 Component 1.1 VHDL procedures grammar rules and type of data object 1.3 Operators 1.4 and 1.6 Expression 1.5 VHDL p
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:21583
    • 提供者:王强
  1. GraduationProject

    0下载:
  2. 进行了一个8位CISC处理器的设计与实现,该微处理器含有计算机基本的功能模块,并对存储器进行了层次化设计。指令系统中的指令分为四大类共十六条,其中包括算术逻辑指令、I/O指令、访存、转移指令和停机指令。在处理器的实现过程中,首先给出了数据通路结构,然后采用VerilogHDL进行硬件电路描述,并对每一个模块进行功能仿真以验证设计的正确性。最后对整个处理器执行程序进行指令验证,并得到综合后的网表。-Conducted an 8-bit CISC processor design and imple
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:517997
    • 提供者:李东升
  1. FPGA_PCI_DATA

    0下载:
  2. 一个基于FPGA的PCI数据发送程序,实现从计算机通过PCI9054向FPGA发送数据功能。开发语言verilog,开发环境quartus-FPGA-based PCI data distribution process, from the computer through the PCI9054 functions to send data to the FPGA. The development of language verilog, development environment qua
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:236917
    • 提供者:李国扬
  1. yuyincaiji

    0下载:
  2. 语音采集与回放系统源代码:1.为了使读音数据存储的时间更长,速度更快,选用了256K*16Bit的SRAM;2.为了减少单片机的控制复杂度,使用了FPGA来控制SRAM的读写操作,节约了不少单片机的I/O资源;3.为了以后的高速数据存储,本设计中加入了fifo,其位宽及深度可在程序中自由设置,方便灵活。-Speech acquisition and playback system source code: 1. In order to make pronunciation longer data
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:804529
    • 提供者:song
  1. in_out_put

    0下载:
  2. 双向RAM的Verilog程序,能实现双向传数据-The Verilog bidirectional RAM process, to achieve a two-way mass data
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:749153
    • 提供者:you
  1. bitsyn

    0下载:
  2. 在FPGA设计中,当接收的数据需要用数据中提取时钟的时候,需要进行同步处理,该文章详细介绍了数据同步处理的过程-In the FPGA design, when the received data need to extract the clock when the data needs to be synchronized, the article introduced in detail the process of data synchronization processing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:65660
    • 提供者:龙珠
  1. usb_Phy

    0下载:
  2. usb1.1 VHDL源码,主要描述收发数据过程-usb1.1 the VHDL source code, descr iption of the send and receive data process
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6532
    • 提供者:
  1. calculator

    3下载:
  2. 基于FPGA DE2开发板的计算器设计。Verilog语言编写。矩阵键盘输入,LCD1602显示。程序包括按键扫描模块、数值处理计算模块和LCD控制写模块等。-Calculator design based on FPGA DE2 development board. language use Verilog. Matrix keyboard input, LCD1602 display. Program includes key scanning module and LCD module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-01
    • 文件大小:3156992
    • 提供者:尹仁超
« 12 3 4 5 »
搜珍网 www.dssz.com