CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - devices.t

搜索资源列表

  1. EDAshuzipinlvji

    0下载:
  2. 1)能够测量正弦波、三角波、锯齿波、矩形波等周期性信号的频率; 2)能直接用十进制数字显示测得的频率; 3)频率测量范围:1HZ~10KHZ切量程能自动切换; 4)输入信号幅度范围为0.5~5V,要求一起自动适应; 5)测量时间:T〈=1.5S;6)用CPLD/FPGA可编程逻辑器件实现 -1) capable of measuring the frequency of the sine wave, triangle wave, sawtooth wave, rectangular wave p
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:882527
    • 提供者:安德森
搜珍网 www.dssz.com