CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - game control

搜索资源列表

  1. PINPAN

    1下载:
  2. 乒乓游戏 ,led流水灯控制乒乓球,按键控制甲方已方操作。详细说明看readme-ping-pong game, led lights to control water table tennis, has been chosen to control keys to operate. Details see readme
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4441
    • 提供者:张建
  1. qiangdaqi.rar

    0下载:
  2. 用verilog编写的抢答器,当主持人宣布“开始比赛”,系统初始化,选手进入“抢答状态”。当某一选手首先按下抢答开关时,相应的指示灯亮,此时抢答器不再接受其他输入信号。电路具有累计分控制(分别用4个4位选手的积分——十六进制数),由主持人控制“加分”。“加分”加分完毕,开始下一轮抢答。电路还可以设有回答问题时间控制。 ,Answer using Verilog prepared, and when the host announced the " start game" , t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-27
    • 文件大小:1103470
    • 提供者:
  1. ISE_lab19

    1下载:
  2. 俄罗斯方块VHDL实现,。该设计由下面模块组成:键盘输入模块,游戏控制模块,图像显示模块,文字显示模块,存储单元,复用单元和VGA 控制模块组成。其中图像显示模块和文字显示模块复用VGA 控制模块。游戏控制模块,图像显示模块和文字显示模块通过存储单元交换数据。-Tetris VHDL implementation. The design consists of the following modules: Keyboard input module, the game control modul
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3850145
    • 提供者:雷旦
  1. rel_08_done

    0下载:
  2. 修改自OpenCores的黑白棋游戏代码。采用VGA输出显示,PS2键盘(W、A、S、D、回车)输入控制,实现AI,LED灯指示是否游戏结束,VGA显示频率25MHz,系统频率50MHz,经过Cyclone IV芯片EP4CE115F29C7N的板级调试,实现全部功能,文件夹下有rtl源代码,管脚定义pin文件,和可以直接进行JTAG烧写和E2PROM烧写的pof和sof文件,-Modified from OpenCores Othello game code. Using the VGA ou
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:253049
    • 提供者:诗律
  1. pingball

    0下载:
  2. 这是一个带声音的弹球小游戏,通过VGA口显示,通过扩展口JA的 pin4和 pin GND输出声音, BTN3 BTN2 控制挡板左右移动,弹球和挡板都自带动画效果-This is a band sound pinball game, through the VGA port shows that through the expansion of the mouth of the JA and pin4 output pin GND voice, BTN3 BTN2 control baffle
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1127054
    • 提供者:
  1. VDHL

    0下载:
  2. Verilog的135个经典设计实例,直流电机控制,游戏机,三态总线,加法器,锁存器等-Verilog s 135 classic design example, DC motor control, video game consoles, three-state bus, adder, latches, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:113548
    • 提供者:何柳
  1. 23825772USB20+FPGA

    0下载:
  2. for the technology, camera control interface for the operation of game source code.
  3. 所属分类:VHDL-FPGA-Verilog

  1. ISE_lab19

    0下载:
  2. 基于VHDL语言编写的俄罗斯方块游戏,由VGA接口和电脑显示器显示,用PS2键盘操作控制。-Written in VHDL-based Tetris game, by the VGA interface and a computer display, with a PS2 keyboard control.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3849750
    • 提供者:大机子
  1. vga_Car

    0下载:
  2. 本实验采用XILINX公司的SPARTAN III系列 的XC3S250E开发板实现赛车游戏机,其基本功能包括:用PS2键盘控制VGA显示,包括小车动态下落,底层小车移动,小车碰撞的判断等内容,当下落的小车和底层小车发生碰撞,则游戏结束。-The the company XILINX XC3S250E SPARTAN III development board series of racing games to achieve its basic features include: VGA d
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:956769
    • 提供者:gaoliangy
  1. exp8

    0下载:
  2. 浙江大学体系结构实验课代码 实现5级流水线带有停顿,旁路和控制竞争的处理。-Experimental Architecture, Zhejiang University course code with a pause 5-stage pipeline, bypassing the treatment and control of competition.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3222049
    • 提供者:crystal
  1. bahe

    0下载:
  2. 在EPM7128板上实现一个拔河游戏机,k1,k5是双方控制按钮,led灯模拟绳子状态-The realization of a tug of war in EPM7128 board game, k1, k5 is both control buttons, led rope lights simulate the state
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:77132
    • 提供者:韦楠
  1. dianzhen

    0下载:
  2. 有LED数码管显示,是一个点阵控制系统,是个计分的数码显示键盘,可用于比赛的计分-With LED digital display, a dot matrix control system, is a digital display keyboard scoring, scoring for the game
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:84068
    • 提供者:陈柳
  1. Picking-Bean-Game

    0下载:
  2. 吃豆子的小游戏,是一个可以控制小球沿路径吃豆子并且成长的游戏,利用时钟分频模块,VHDL语言编写,可以利用VGA模块在显示屏上显示-Pacman game Pacman can control a small ball along the path and growth of the game ........
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1880376
    • 提供者:Ming Yan
  1. ping_pangyouxijifpga

    0下载:
  2. 乒乓游戏机控制,fpga程序好用的程序,保你管用,一下就好用,毕设专用-Ping-pong game control fpga program easy to use program, Paul works look like, and is set to complete the special
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:765775
    • 提供者:权利红
  1. control

    0下载:
  2. 北京邮电大学数字电路实验乒乓球游戏机设计;共有个五个模版,分别编写,最后综合在一起-Beijing university of posts and telecommunications digital circuit experiment table tennis game design There are five a template, separately written, and finally integrated together
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-28
    • 文件大小:3391
    • 提供者:bin
  1. Mario

    0下载:
  2. 基于fpga 的小游戏,用键盘控制的,实际可以运行-FPGA-based game control with the keyboard, can actually run
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-29
    • 文件大小:5938701
    • 提供者:郭稳
  1. Tetris_1

    1下载:
  2. verilog HDL编写的俄罗斯方块程序,包含游戏控制,得分统计,VGA,PS2键盘控制等模块-verilog HDL Tetris program, including game control, Won, VGA, PS2 keyboard control modules
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-12-12
    • 文件大小:1948672
    • 提供者:张文
  1. GAME

    0下载:
  2. 基于FPGA的小游戏,用状态机描述的控制LED灯循环,模拟乒乓球的实验-FPGA-based game, control the LED lights cycle state machine described in the table tennis simulation experiment
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:562212
    • 提供者:
  1. Verilog code about a VGA based ball and gun game

    0下载:
  2. This code can be performed directly on the SPARTAN-3A FPGA board as long as a VGA port is connected to this board. After initialization, a ball and gun will appear on the screen and you can control them and playing the game by using the button from t
  3. 所属分类:VHDL编程

    • 发布日期:2015-02-21
    • 文件大小:25860
    • 提供者:wpw1994
  1. bomb-game-in-verilog

    1下载:
  2. 基于verilog实现的炸弹人小游戏,通过FPGA按钮控制,有VGA模块。-bomb game based on verilog, control through the FPGA button control, also with VGA modules.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-13
    • 文件大小:11561
    • 提供者:栾玮珉
« 12 »
搜珍网 www.dssz.com