CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - pwm方波

搜索资源列表

  1. pwm

    0下载:
  2. 此程序可用于产生正弦波、三角波、锯齿波、方波并仿真通过,采用LPM_rom-This program can be used to generate sine wave, triangle wave, sawtooth wave, square wave and the simulation by using LPM_rom
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1452376
    • 提供者:夜雨
  1. VHDL

    0下载:
  2. PWM控制就是产生一定周期,占空比不同的方波信号,当占空比较大时,电机转速较高,否则电机转速较低。当采用FPGA产生PWM波形时,只需FPGA内部资源就可以实现,数字比较器的一端接设定值输出,另一端接线性递增计数器输出。当线性计数器的计数值小于设定值时输出低电平,当计数器大于设定值时输出高电平,这样就可通过改变设定值,产生占空比不同的方波信号,从而达到控制直流电机转速的目的。 直流电机控制电路主要由2部分组成,如图1所示:  FPGA中PWM脉宽调制信号产生电路; &
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:37426
    • 提供者:袁玉佳
  1. pwm

    0下载:
  2. :随机脉宽调制是解决交流调速系统 中声学噪声的直接有效方法。随机零矢 量分 布是一种很好 的随 机方法,但其不对称的开关函数使其不适用于传统的电流采样方法。通过仿真表明 PWM周期中点采样的方 法无法得到准确的平均值,在分析不对称模式引起的纹波电流对电流平均值影响的基础上,提出了一种适合 于 RZV分布 的电流采样方法 。仿真结果证实该方法简单可行 。 -: Random pulse width modulation speed control system to solve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:138600
    • 提供者:guoguo
  1. bijiaoqi

    0下载:
  2. 比较器、加法器、pwm的VHDL描述 可以用作正弦变方波的器件 比较器经改变可做pwm-compare by vhdl ,use as changing sin to squart signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4920055
    • 提供者:王鹏
  1. NCDividerAndItsApplicationVHDLSourceeCode

    0下载:
  2. 用VHDL编写的数控分频器及其仿真结果图片。该程序能实现PWM波形输出以及产生正负脉冲宽度可调的方波输出。-Prepared by the NC VHDL Simulation results divider and pictures. The program can achieve positive and negative PWM waveform output and pulse width adjustable square wave output.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:59097
    • 提供者:闫方义
  1. pwm

    0下载:
  2. 一个用vhdl语言编程的可以实现pwm方波的简单程序-A programming language with vhdl pwm square wave can be achieved simple program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:554727
    • 提供者:alice
  1. PICdpjpwm

    0下载:
  2. PIC单片机PWM模块应用实验程序,包含系统配置、-中断服务程序, 使用PIC16F887芯片,输出50khz占空比50 的方波 -PIC microcontroller PWM module application experimental procedures, including system configuration,- interrupt service routine, use the PIC16F887 chip, a 50 duty cycle output 50khz sq
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4497
    • 提供者:zcx
  1. PWM_xinhaofashengqi

    0下载:
  2. 基于飞思卡尔单片机,使用PWM模块输出1khz的方波-Based on Freescale' s microcontroller, using the PWM module output square wave 1khz
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:196986
    • 提供者:lyq
  1. PWM

    1下载:
  2. 程序PWM_rate1可以输出占空比可调的方波,并把占空比用数码管显示出来。-verilog pwm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:3938
    • 提供者:xiadafang
  1. PWM

    0下载:
  2. 此程序利用FPGA芯片的内部时钟,根据输入信号,产生占空比可调的方波信号。-This program uses the FPGA chip s internal clock, according to the input signal to generate variable duty cycle square wave signal.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:573
    • 提供者:lmy
搜珍网 www.dssz.com