CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - read rom

搜索资源列表

  1. MVHDL

    0下载:
  2. 本程式為並列flash ROM之控制程式, 可將flash rom的資料讀出後, 經過CPLD controller將圖檔轉成VESA影像訊號, 輸出至螢幕, 本程式已經過硬體驗證-the parallel program for controlling flash ROM programs, rom flash can be read out information, After drawing CPLD controller will turn into VESA video signal
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4978192
    • 提供者:明華
  1. rom

    0下载:
  2. Read-only memory,Verilog code
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:8237
    • 提供者:leigh lee
  1. rom.rar

    0下载:
  2. 基于Verilog语言编写的各种只读存储器rom和随机存储器ram,Verilog language based on a variety of read-only memory rom and random access memory ram
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:704465
    • 提供者:李辽原
  1. ddsb

    0下载:
  2. DDS波形发生器,通过改变频率控制字来改变输出波形的频率。波形的数据实现存在ROM表中,通过时钟触发来读取。-DDS waveform generator, by changing the frequency control word to change the frequency of the output waveform. The data waveform ROM table to achieve there, triggered by the clock to read.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:745583
    • 提供者:陈杰
  1. VHDL_procedures.rar

    0下载:
  2. VHDL程序来让蜂鸣器发出音乐的声音 这种电路设计要分好几个模块 主要思路是用ROM记录乐谱 然后用分频器分频 还有就是用计数器读取乐谱 另外还可以扩展 使其显示音符 这是一个做好了的 就是ROM没填谱,VHDL procedures are in place to allow the voice of music The buzzer sounded a circuit design that several sub-modules to the ma
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:1568
    • 提供者:yy0838
  1. RISC-CPU

    1下载:
  2. 用FPGA实现一个简易的CPU,采用精简指令集结构,每一条指令有16bit,高三位为指令操作数,后13位为地址,该CPU能实现8种指令操作,分别有HLT(空一个中期)ADD(相加操作)SKZ(为零跳过)AND(相与操作)XOR(异或操作)LDA(读数据)STO(写数据)JMP(无条件跳转指令)。cpu包括8个部件,分别为时钟发生器、指令寄存器、累加器、算术逻辑单元、数据控制器、状态控制器、程序计数器、地址多路器,各个部件之间的相互操作关系由状态控制器来控制,程序指令存放在初始rom中,本例程存放
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3147284
    • 提供者:vice
  1. rom

    1下载:
  2. 只读存储器VHDL代码,可运行实现,已用quartusII6.0验证-Read-only memory VHDL code can be run to achieve has been used to verify quartusII6.0
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1322
    • 提供者:干璐
  1. LPC2DDR2

    0下载:
  2. Module Function Descr iption: This module allows a SPI ROM to be used in a LX/CS5536 system. Details are below: 1.Provide a memory window to the SPI EPROM at FFF80000h-FFFFFFFFh (512KB). 2.Provide an interface to the SPI bus to allow the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:8697
    • 提供者:吴羽中
  1. rom

    0下载:
  2. 一个ROM读数据代码,简单,一目了然,一起学习-A ROM read data code, simple, clear, along with learning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:501778
    • 提供者:陈俊
  1. XilinxOneWireInterface

    0下载:
  2. Xilinx公司的1 wire接口HDL源代码,可以用来读取1 wire的rom。-Xilinx Inc. 1 wire interface to HDL source code, can be used to read the 1 wire in the rom.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:159352
    • 提供者:YongZhiLi
  1. auto_w_r_spi

    0下载:
  2. 能够自动读写SPI ROM的控制器,读写的资料直接放到fifo中,经过实际的班子验证,很好用。-Can automatically read and write SPI ROM controller, read and write data directly into fifo, after the actual verification team, very good use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:9492
    • 提供者:wwww
  1. interlace

    0下载:
  2. 根据MATLAB中的伪随机交织器产生的交织图案初始化到ROM中,从ROM中读取交织图案对输入数据进行交织。同时也可根据解交织图案进行解交织,同样的算法。-In accordance with MATLAB generated pseudo-random interleaver initialization pattern woven into the ROM, read from the ROM interwoven interwoven pattern of input data. Can a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1216
    • 提供者:源天
  1. 8CPU

    0下载:
  2. 指令寄存器:在触发时钟的正沿触发下,寄存器将数据总线送来的指令存入寄存器; 累加器用于存放当前的结果,它也是双目运算的一个数据来源; 算术逻辑运算单元根据输入的8种不同操作码实现相应的加、与、异或、或等8种基本操作运算; 状态控制器实际上就是一个状态机,它是CPU的控制核心,用于产生一系列的控制信号,启动或停止某些部件。CPU何时进行读指令、读写I/O端口、对ROM数据的读取等操作,都是由状态机来控制的; -Instruction Register: The trigger is
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:24984
    • 提供者:王金
  1. romPlcd1602

    0下载:
  2. 用verilog hdl实现从fpga内部rom中读取数据在lcd1602上显示-The data in the fpga rom is read out and shown in lcd1602 by verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:2734
    • 提供者:sxy
  1. dds

    0下载:
  2. 通过查表法,用FPGA实现波形的输出。预先将数据存放在ROM中,依次读取数据并输出。-Look-up table method, the output waveform with FPGA implementation. Advance to data stored in ROM, in order to read data and output.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2160300
    • 提供者:黄页中
  1. sin2

    0下载:
  2. 一个简单的FPGA读取ROM的仿真程序。-A simple FPGA read ROM of the simulation program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-07
    • 文件大小:372573
    • 提供者:df
  1. ROM

    0下载:
  2. 使用Verilog语言编写的ROM,根据ROM逻辑,自己写的一个ROM,并仿真实现功能-read only memory
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4382631
    • 提供者:舒占军
  1. ROM

    0下载:
  2. 使用verilog语言实现对altera下cycloneII系列FPGA的片上ROM的创建,读写,调用IP核-Use verilog language to achieve altera under the cycloneII series FPGA on-chip ROM to create, read and write, call IP core
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-11
    • 文件大小:36410
    • 提供者:张仑仑
  1. 11_rom_test

    0下载:
  2. 利用.mif文件产生rom表。完成rom的存储和读取(Using the.Mif file to generate the ROM table. Complete the storage and read of ROM)
  3. 所属分类:VHDL/FPGA/Verilog

  1. rom_test

    0下载:
  2. rom读写实验,实现FPGA内部rom数据存取(rom read and write,this is a good document for study FPGA verilog)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-18
    • 文件大小:4245504
    • 提供者:konan007
« 12 »
搜珍网 www.dssz.com