CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - stamp

搜索资源列表

  1. VHDLauto.rar

    0下载:
  2. 自己变得自动售邮票机vhdl程序,仿真已通过,适合初学者参考。,Become their own stamp vending machine VHDL procedures, simulation has passed for beginners reference.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:325144
    • 提供者:zhangxin
  1. sel_stamp

    0下载:
  2. 可实现找钱功能的自动售邮票机,可买两种邮票,一元的和五角的-Money function can be realized stamp vending machine, to buy two stamps, one dollar and the Pentagon
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:840
    • 提供者:黄涛
  1. stamp_seller

    0下载:
  2. 一个自动售邮票的控制电路。 用两个发光二极管分别模拟售出面值为六角和八角的邮票,购买者可以通过开关选择一种面值的邮票,灯亮时表示邮票售出。用开关分别模拟一角、五角和一元硬币投入。用发光二极管分别代表找回剩余的硬币。 要求:每次只能售出一枚邮票;当所投硬币达到或超过购买者所选面值时,售出一枚邮票,并找回剩余的硬币回到初始状态;当所投硬币值不足面值时,可以通过一个复位键退回所投硬币,回到初始状态。-An automatic control circuit sell stamps. With
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:758
    • 提供者:张三
  1. automat

    0下载:
  2. 自动售货机:1、通过开关选择购买6角或8角的邮票;2、按下购买键,开始购买;3、按相应键,输入1角、5角、1元硬币;4、当输入硬币总值大于等于欲买邮票价值时,相应邮票输出并找零(邮票输出,找零均用LED灯表示,找零也有1角、5角、1元三种)5、若想终止交易,可按退钱键,退出已输硬币,交易结束。-Vending machines: one, through the switch to choose to buy six cents or 8 corner stamps 2, press the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-11-11
    • 文件大小:991110
    • 提供者:duj
  1. zhengfumaikuantiaozhi

    0下载:
  2. VHDL--该程序是自动售邮票的控制电路-Circuit Design for Automatic Control of stamp sale
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:130000
    • 提供者:雇主
  1. youpiao

    0下载:
  2. 使用vhdl语言设计自动售邮票机。用两个发光二极管分别模拟售出面值为6角和8角的邮票,购买者可以通过开关选择一种面值的邮票,灯亮时表示邮票售出。用开关分别模拟1角、5角和1元硬币投入,用发光二极管分别代表找回剩余的硬币。 -Using vhdl language design vending stamp machine. Sold two light-emitting diodes were simulated nominal value of 60 cents and 80 cents s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-11-11
    • 文件大小:137216
    • 提供者:陈小龙
  1. Stamp-vending-machines

    0下载:
  2. 设投币初始状态为ST0,如果投入一枚五毛硬币为ST1, 如果投入两枚五毛或者投入一枚一元硬币(累计一元)为ST2,如果投入三枚五毛或者一枚五毛和一枚一元(累计一元五角)为ST3,如果投入四枚五毛或者两枚五毛和一枚一元或者两枚一元(累计两元)为ST4,在ST4状态下,如果再次投入一枚五毛硬币,则输出邮票并返回初始状态,如果再次投入一枚一元硬币,则输出邮票并找回五毛同时返回初始状态。-Stamp vending machines
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:4002
    • 提供者:zhangpei
  1. shouhuojixi1

    0下载:
  2. 自动邮票售货机,选择要购买的邮票,直接投入硬币就可以购买。(Automatic stamp vending machine, select the stamps to buy, directly into coins can buy.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-20
    • 文件大小:1732608
    • 提供者:阿茉
搜珍网 www.dssz.com