CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - test ROM

搜索资源列表

  1. ram

    0下载:
  2. 本原代码中利用VHDL语言编写了RAM、FIFO、ROM等常用的存储和缓冲部件,完全的代码在ALTERA的FPGA上已经通过仿真测试,保证可用.-primitive code using VHDL prepared RAM, FIFO, ROM, and other commonly used storage and buffer components, complete code in the Altera FPGA simulation test has been passed to ens
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2661
    • 提供者:nick
  1. synth_fft

    0下载:
  2. 甘地大学电子专业Ray Ranjan Varghese设计的FPGA实现FFT,采用的是单精度的浮点,采用IEEE745格式的浮点+ROM RAM的方式成功实现FFT,含有设计报告和设计源代码,并有测试文件,真的很不错。 -Gandhi University of Electronic Design Professional Ray Ranjan Varghese FPGA realization of FFT, using a single precision floating-point,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:418973
    • 提供者:何渊泽
  1. P8051

    0下载:
  2. This a FREE tool chain which compiles C codes into 8051 binary code, converts the binary to RTL ROM, and simulate in Modelsim. SDCC is the compiler. Example compilation: cd compile sdcc --iram-size 0x80 --xram-size 0x800 t8051.c RE
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:8101153
    • 提供者:zhao xin ke
  1. music

    0下载:
  2. 乐曲硬件演奏电路设计 由顶层文件和数控分频、乐曲简谱码对应的分频预置数查表电路、8位二进制计数器(ROM的地址发生器)组成。演奏乐曲“梁祝”,乐曲可改。已经过硬件下载测试(使用芯片EP1C6Q240 Cyclone系列)-Music by the top hardware performance circuit design file and the NC frequency, music notation code number corresponding to the preset fr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:387817
    • 提供者:叶槟
  1. ram-rom-VerilogHDL

    0下载:
  2. 利用Verilog编写的各种RAM ROM的代码以及他们的测试模块-Prepared using a variety of RAM ROM Verilog code and their test module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:5618
    • 提供者:王体奎
  1. mem_test

    0下载:
  2. ROM存储器的Verilog测试程序,希望对大家有帮助!-ROM memory of the Verilog test program, we want to help!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:409503
    • 提供者:sun pei
  1. i2cceshi

    0下载:
  2. 瑞泰mini光盘下的omap3530的I2C测试,包含头文件,cmd文件等。测试通过。-Skandia mini CD-ROM under the omap3530 the I2C test, include the header file, cmd files. Test.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:86296
    • 提供者:KOK
  1. rom-test

    0下载:
  2. 简单的FPGA中ROM使用仿真程序,使用的verilog语言-Simple FPGA ROM emulator, using the verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:9722266
    • 提供者:blue
  1. RTL

    0下载:
  2. verilog编写的关于使用MENTOR的MBISTArchitect进行momery的自测试代码,包含测试算法模型,SRAM,ROM模型-verilog prepared by the use of MBISTArchitect for momery MENTOR self-test code, including test algorithm model, SRAM, ROM model
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:305432
    • 提供者:
  1. testrom

    0下载:
  2. My Uploaded Code to test ROM using VHDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:200032
    • 提供者:Ali
  1. ROM_test

    0下载:
  2. 测试ROM的例子用Verilog写的,里面有测试文件,测试通过完全可用!-Examples of test ROM data
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:169835
    • 提供者:苏春荣
  1. 10_rom_test

    0下载:
  2. rom test,基于FPGA的rom测试,很好的学习资料,大家都来学一学-rom test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5245747
    • 提供者:zhouhaimin
  1. test

    0下载:
  2. 可以产生正弦波,通过ROM,文件中已有完整代码,直接下载即可(Sine wave can be generated through the ROM, the document has complete code, you can download directly)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-22
    • 文件大小:3585024
    • 提供者:哈虎
  1. 10_rom_test

    0下载:
  2. rom ip核的配置,以及测试文件,适合初学者使用。(ROM IP core configuration, as well as test files, suitable for beginners to use.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-04
    • 文件大小:4237312
    • 提供者:声声不洗
  1. ethernet_loopback

    0下载:
  2. 通过FPGA驱动千兆以太网口,完成SPARTAN6上的UDP数据包闭环测试,即通过网口发送数据包到FPGA,FPGA内部将接收到的数据返回到PC机,建议测试之前添加ARP静态绑定,FGPA内部的IP以及MAC地址在ROM里的COE文档里可以看到,发送端添加了CRC以及整体CHECKSUM的计算(Driven by FPGA Gigabit Ethernet port, UDP SPARTAN6 data packet on the closed loop test, through the ne
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-05
    • 文件大小:23942144
    • 提供者:marktuwen
  1. rom_test

    0下载:
  2. rom读写实验,实现FPGA内部rom数据存取(rom read and write,this is a good document for study FPGA verilog)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-18
    • 文件大小:4245504
    • 提供者:konan007
  1. try4

    0下载:
  2. 利用mif文件生成ROM/RAM,并附带例化程序和测试文件(Using MIF files to generate ROM/RAM with example programs and test files)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-30
    • 文件大小:3265536
    • 提供者:imdouniwan
  1. test

    0下载:
  2. 利用xilinx公司开发的vivado平台中的IP核-rom,实现存储(Using IP core -rom in vivado platform developed by Xilinx, storage is implemented.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:51200
    • 提供者:
搜珍网 www.dssz.com