CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - tx-

搜索资源列表

  1. tx

    0下载:
  2. 自己编写的串口UART发送的Verilog模块。与FIFO连接,可以实现自动连续发送。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:7321
    • 提供者:YongZhiLi
  1. txunit1

    0下载:
  2. UART发送TX控制电路设计,以波特率产生器的EnableTX将数据DATAO以LOAD信号将其送入发送缓冲器Tbuff,并令寄存器内容已载有数据而非空出的标志tmpTBufE=0。当同步波特率信号来临时监视是否处于tmpTBufE=0(内有数据)以及tmpTRegE=1(没有数据)。即处于尚未启动发送态则将Tbuff缓冲寄存器 送入传输寄存器Treg内并令tmpTRegE=0(内又送入数据),但因Tbuff已转送入缓冲寄存器TregE内,为空故令tmpTBufE=1,此tmpTBufE代表缓冲
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1651
    • 提供者:袁迎迎
  1. uart_tx

    0下载:
  2. uart tx code
  3. 所属分类:VHDL编程

  1. trunk-hdlc.rar

    1下载:
  2. 高级链路层协议的实现,vhdl,fpga,- 8 bit parallel backend interface - use external RX and TX clocks - Start and end of frame pattern generation - Start and end of frame pattern checking - Idle pattern generation and detection (all ones) - Idle pattern
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:188322
    • 提供者:whs
  1. TX

    0下载:
  2. 1路视频光端机的发射端,VHDL源码,使用全FPGA芯片的硬件,内建成帧、时钟、SERDES-The launch of a video PDH client, VHDL source code, use the whole FPGA chip hardware, built-in framing, clock, SERDES
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:103590
    • 提供者:tr
  1. test_uart

    0下载:
  2. uart VHDL code : include tx,rx,parity bit control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:13077
    • 提供者:byungchan
  1. xapp460

    0下载:
  2. xilinx hdmi tx rx verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:94091
    • 提供者:xiantongma
  1. uart_tx_rx

    0下载:
  2. 该工程用verilog编写,已通过串口调试助手调试通过,接收模块采用8倍波特率采样数据,有较好的滤波功能,在PC上完成自发自收功能。-Verilog prepared by the project, has passed through the serial debug debugging assistant, receiving 8 times the baud rate module sampling data, a better filtering in the PC to complet
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1312980
    • 提供者:eric
  1. uart

    0下载:
  2. uart - veiloghdl rx, tx, baudrate-uart- veiloghdl rx, tx, baudrate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:4853
    • 提供者:xinha
  1. RxTx

    0下载:
  2. Serial communication - RS232 Tx Rx
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2049
    • 提供者:theanimalix
  1. tb_tx_modem

    0下载:
  2. test bench for tx modem to make simulation for ofdm based system
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:557
    • 提供者:jhonny
  1. TX-1C

    0下载:
  2. TX-1C型实验板,实现源码模板,不是PDF格式的文件,-TX-1C-based target board, to achieve source code template, not the PDF format,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:44629
    • 提供者:YU
  1. LIP1743CORE_sdio_tx_fsm

    0下载:
  2. Verilog SDIO TX FSM module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:51683
    • 提供者:jc
  1. UARTRXTX

    0下载:
  2. MSP430f449的max232的TX与RX问题解决-MSP430f449 the max232' s problem-solving TX and RX
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:24196
    • 提供者:徐如
  1. LIP1745CORE_uart_txfsm

    0下载:
  2. UART TX FSM Verilog source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:29032
    • 提供者:jc
  1. hdmitx

    0下载:
  2. hdmi tx solution is from company that can help hdmi design using fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:74300
    • 提供者:ssjj
  1. IEEE802.3FrameFormat

    0下载:
  2. IEEE 802.3u (100Base-T)是100兆比特每秒以太网的标准。100Base-T技术中可采用3类传输介质,即100Base-T4、100Base-TX和100Base-FX,它采用4B/5B编码方式-IEEE 802.3u (100Base-T) is 100 megabits per second Ethernet standard. 100Base-T technology in the transmission medium can be used three catego
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:9751
    • 提供者:孙成
  1. TX

    0下载:
  2. In data transmission and telecommunication, bit stuffing (also known—uncommonly—as positive justification) is the insertion of noninformation bits into data. Stuffed bits should not be confused with overhead bits. Bit stuffing is used for variou
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2427810
    • 提供者:lep
  1. plc

    0下载:
  2. plc bus 64 bit tx with rs232(8bit at a time)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:2273
    • 提供者:israel lavie
  1. dtmf

    1下载:
  2. dtmf 8880 tx phone ca-dtmf 8880 tx phone call
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2874
    • 提供者:israel lavie
« 12 3 »
搜珍网 www.dssz.com