CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 其他嵌入式/单片机内容 搜索资源 - FPGA

搜索资源列表

  1. test2_fpga_led

    0下载:
  2. 基于FPGA_cycloneIV代FPGA的简单程序,含管脚配置文件。实现了IO的控制。可以点亮一个LED灯。-Based on a simple program FPGA_cycloneIV behalf of the FPGA, including pin configuration files. Realized IO control. You can light up a LED lamp.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-14
    • 文件大小:3247010
    • 提供者:
  1. Quartus_II

    0下载:
  2. verilog学习FPGA的基础教程,希望能够帮助您,-FPGA-based tutorial learning, we hope to help you
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-03
    • 文件大小:688311
    • 提供者:netchor
  1. des3

    0下载:
  2. 基于FPGA的des3加密算法,在QuartusII环境下编译-Encryption algorithm of des3, built under QuartusII
  3. 所属分类:Other Embeded program

    • 发布日期:2017-06-13
    • 文件大小:20293199
    • 提供者:王仁杭
  1. Actel_Smartfusion_MSS_APB3_Master

    0下载:
  2. Actel Smartfusion FPGA芯片APB3总线示例程序-Actel Smartfusion FPGA chip AHB3 bus sample program
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-21
    • 文件大小:5761030
    • 提供者:雷晓明
  1. A5

    0下载:
  2. A5算法仿真,毕设用的,FPGA平台,digilent的,用的是vhdl语言-The A5 algorithm simulation, never put off till tomorrow what you can put to use, the FPGA platform, digilent, using VHDL language
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-22
    • 文件大小:6205246
    • 提供者:caotian
  1. lcd1602

    0下载:
  2. FPGA控制lcd1602液晶显示,使用Verilog语言在quartus II环境下开发-FPGA lcd1602 verilog
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-13
    • 文件大小:1785
    • 提供者:hero
  1. source_tx

    0下载:
  2. FPGA控制uart 串口发送,使用Verilog语言在quartus II环境下开发-uart tx FPGA verilog
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-13
    • 文件大小:2370
    • 提供者:hero
  1. source_ps2

    0下载:
  2. FPGA控制PS2协议,使用Verilog语言在quartus II环境下开发-FPGA PS2 Verilog
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-15
    • 文件大小:7275
    • 提供者:hero
  1. source_ds1302

    0下载:
  2. FPGA控制ds1302,使用Verilog语言在quartus II环境下开发-FPGA verilog ds1302
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-14
    • 文件大小:3747
    • 提供者:hero
  1. ram

    0下载:
  2. 此代码可以是FPGA内部ram存储器在读取一系列数据后,然后每间隔1秒钟读出来。-This code can be read in the FPGA internal ram memory after a series of data, and then read out at intervals of 1 second.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-18
    • 文件大小:4884964
    • 提供者:相猛 唐
  1. LogicAnalyzers

    1下载:
  2. 全套逻辑分析仪资料,包括:PCB、FPGA源码、MCU源码,说明书。可以直接生产使用-A full set of logic analyzer data, including: PCB, FPGA source code, MCU source, instruction manual. You can use the direct production
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-23
    • 文件大小:7011804
    • 提供者:Xianfeng
  1. 9_eeprom_test

    0下载:
  2. 基于Cyclone IV系列FPGA的eeprom驱动程序,Verilog语言编写。-eeprom driver based Cyclone IVhardware,use VerilogHDL.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-22
    • 文件大小:6357465
    • 提供者:zhanghc
  1. buzzer

    0下载:
  2. 采用FPGA编写程序控制蜂鸣器,实现音乐播放-Using FPGA program to control the buzzer to achieve music playback
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-05
    • 文件大小:221891
    • 提供者:vinolong
  1. SAKURA_Checker_release_20130902

    0下载:
  2. SAKURA-G FPGA开发板上位机源代码-SAKURA-G FPGA development board host computer source code
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-06
    • 文件大小:922794
    • 提供者:Ryan Luk
  1. piso8_ok_bingchuanzhuanhuan

    0下载:
  2. 本程序是用vhdl开发的实现并串转换功能的程序。(This procedure is developed using VHDL implementation and string conversion function of the program.)
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2017-12-20
    • 文件大小:157696
    • 提供者:zhihuidaxian
  1. FPGA_SOURCE_CODE

    2下载:
  2. ad9910 FPGA VERILOG 初始化代码,(Ad9910 FPGA VERILOG initialization code)
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2017-12-23
    • 文件大小:1024
    • 提供者:MR._.yang
  1. 四分频器

    1下载:
  2. 使用FPGA实现单一频率信号分频为原来的1/4
  3. 所属分类:其他嵌入式/单片机内容

  1. bcd

    0下载:
  2. FPGA实现3-8译码器用于实验测试,非常适合于初学者(FPGA implementation decoder)
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2018-04-22
    • 文件大小:160768
    • 提供者:王一9
  1. AESj 加密解密Verilog

    0下载:
  2. 128位AES加密解密,可以在FPGA上实现
  3. 所属分类:其他嵌入式/单片机内容

  1. dynamic control xilinx fpga

    1下载:
  2. 文件中,有赛灵思动态配置PLL的相关代码,用户可以通过DCP 接口对pll的输出频率 动态设置
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2023-12-01
    • 文件大小:169804
    • 提供者:wens*****
« 1 2 ... 5 6 7 8 9 10»
搜珍网 www.dssz.com