CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 数值算法/人工智能 搜索资源 - Antenna

搜索资源列表

  1. AdapBF_SNR

    0下载:
  2. 在无缘雷达探测里雷达天线接收的自适应波束形成算法-Lane missed radar in the radar antenna to receive the adaptive beamforming algorithm
  3. 所属分类:matlab

    • 发布日期:2017-04-01
    • 文件大小:1050
    • 提供者:况卢娟
  1. 1d-photonic-crystal

    2下载:
  2. 按照TE/TM模,研究一维光子晶体输出特性-In accordance with the TE/TM mode to study the one-dimensional photonic crystal output characteristics
  3. 所属分类:matlab

    • 发布日期:2017-04-13
    • 文件大小:2165
    • 提供者:wgxdz
  1. chapter02

    0下载:
  2. 天线仿真源代码,在MATLAB平台上运行,程序完整。-Antenna simulation source code in the MATLAB platform, the program integrity.
  3. 所属分类:matlab

    • 发布日期:2017-04-04
    • 文件大小:30593
    • 提供者:华南
  1. chapter03

    0下载:
  2. 无线通信中的天线仿真,matlab平台上提供源码,第二部分-Wireless communication antenna simulation, matlab source platform, Part II
  3. 所属分类:matlab

    • 发布日期:2017-04-04
    • 文件大小:29269
    • 提供者:华南
  1. chapter05

    0下载:
  2. 用于无线通信中天线仿真,matlab上的源代码,第四部分。-For wireless communications antenna simulation, matlab on the source code, Part IV.
  3. 所属分类:matlab

    • 发布日期:2017-04-04
    • 文件大小:23677
    • 提供者:华南
  1. chapter06

    0下载:
  2. 用于无线通信中天线仿真,matlab上的源代码,第五部分。-For wireless communications antenna simulation, matlab on the source code, the fifth part.
  3. 所属分类:matlab

    • 发布日期:2017-04-08
    • 文件大小:188206
    • 提供者:华南
  1. zhinengtianxian

    2下载:
  2. 智能天线圆形阵列设计程序,可以设置不同的圆半径。-Smart antenna circular array design process, you can set a different radius.
  3. 所属分类:matlab

    • 发布日期:2017-04-01
    • 文件大小:1054
    • 提供者:刘秦
  1. control_system

    0下载:
  2. 用matlab做的天线转台控制系统,没有扰动情况下系统程序-Using matlab to do the antenna turntable control system, there is no disturbance the system procedure
  3. 所属分类:matlab

    • 发布日期:2017-04-02
    • 文件大小:984
    • 提供者:孙俊
  1. control_system2

    0下载:
  2. 用matlab做的天线转台控制系统,为有扰动情况下并且进行极点配置程序-Using matlab to do the antenna turntable control system for disturbance circumstances and procedures for pole placement
  3. 所属分类:matlab

    • 发布日期:2017-04-03
    • 文件大小:1455
    • 提供者:孙俊
  1. Mfile

    0下载:
  2. PHS多天线基带调制与解调MATLAB程序-multiple antenna PHS baseband modulation and demodulation
  3. 所属分类:matlab

    • 发布日期:2017-04-25
    • 文件大小:240517
    • 提供者:PEGUIN
  1. simotheor

    0下载:
  2. Simo traces the ser for a simo system :n transmit antenna and 1 recieve antenna and compare it to an alamouti system
  3. 所属分类:matlab

    • 发布日期:2017-04-12
    • 文件大小:1284
    • 提供者:dalidali
  1. ofdm-siso

    2下载:
  2. 正交频分复用是一种多载波宽带数字调制技术。相比一般的数字通信系统, 它具有频带利用率高和抗多径干扰能力强等优点,因而适合于高速率的无线通信系统。- OFDM Simulator -------------------------------------------------------------------------------- MIMO OFDM Simulator: OFDM.m: OFDM Simulator (out
  3. 所属分类:matlab

    • 发布日期:2014-04-07
    • 文件大小:183827
    • 提供者:田静
  1. M3

    0下载:
  2. 对智能天线的波达方向进行了仿真,可以得到比较精确的DOA图像-Smart Antenna for Direction of Arrival for the simulation, can be relatively precise DOA Image
  3. 所属分类:matlab

    • 发布日期:2017-04-11
    • 文件大小:748
    • 提供者:liuweijia
  1. Cal_E_Field_Dipole

    0下载:
  2. Calculate E-field of dipole antenna.
  3. 所属分类:matlab

    • 发布日期:2017-04-12
    • 文件大小:811
    • 提供者:jimmyhuang1114
  1. xiandaileida

    0下载:
  2. 介绍雷达的理论基础、脉冲压缩技术、信号检测技术、频率和极化捷变技术、现代天线技术-Introduce the theoretical basis for radar pulse compression techniques, signal detection, frequency and polarization-agile technology, modern antenna technology
  3. 所属分类:matlab

    • 发布日期:2017-05-21
    • 文件大小:6649664
    • 提供者:傅强
  1. antenna_design

    0下载:
  2. Antennas Design in matlab.
  3. 所属分类:matlab

    • 发布日期:2017-05-03
    • 文件大小:861241
    • 提供者:Moin
  1. beampattern

    0下载:
  2. 由切比雪夫权值矢量及阵元间距画波束方向图:有x输入,计算该方向的值,否则计算所有方向的值,画出方向图-By the weight vector and the array element spacing beam pattern draw: there is x input, calculate the value of the direction, otherwise the value calculated in all directions, draw the direction of g
  3. 所属分类:matlab

    • 发布日期:2017-04-10
    • 文件大小:869
    • 提供者:杨先凯
  1. music

    1下载:
  2. 多重信号分类算法,用于阵列天线进行空间谱估计使用,具有高分辨率特性-Multiple signal classification algorithm for antenna array spatial spectrum estimation using a high-resolution characteristics of
  3. 所属分类:matlab

    • 发布日期:2017-04-14
    • 文件大小:4085
    • 提供者:周清晨
  1. fdtd3d_patch_antenna

    0下载:
  2. 贴片天线的FDTD三维编程,在VC++中实现,对于初学者有参考价值-The three-dimensional FDTD antenna programming in VC++ in the realization of a valuable reference for beginners
  3. 所属分类:Algorithm

    • 发布日期:2017-04-03
    • 文件大小:225937
    • 提供者:杨永常
  1. adaptive-MIMO-antenna

    0下载:
  2. adaptive MIMO helpful hints
  3. 所属分类:matlab

    • 发布日期:2017-04-05
    • 文件大小:446704
    • 提供者:channa2017
« 1 2 ... 13 14 15 16 17 1819 20 21 22 23 ... 50 »
搜珍网 www.dssz.com