CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 搜索资源 - std_logic_1164

搜索资源列表

  1. HammingDecoder

    0下载:
  2. -- Hamming Decoder -- This Hamming decoder accepts an 8-bit Hamming code (produced by the encoder above) and performs single error correction and double error detection. -- download from: www.pld.com.cn & www.fpga.com.cn LIBRARY ieee U
  3. 所属分类:source in ebook

    • 发布日期:2017-04-14
    • 文件大小:3754
    • 提供者:djs
  1. Cvolatile

    0下载:
  2. eee.std_logic_arith.all use ieee.std_logic_1164.all use ieee.std_logic_unsigned.all entity PL_auto1 is port ( clk:in std_logic --系统时钟 set,get,sel,finish: in std_logic --设定、买、选择、完成信号 coin0,coin1: in std_logic --5角硬币、1元硬币 price,quan
  3. 所属分类:Compiler program

    • 发布日期:2017-03-26
    • 文件大小:5068
    • 提供者:Mr zhai
  1. sy1

    0下载:
  2. 28M分频器 D触发器 jk触发器 library ieee -library ieee use ieee.std_logic_1164.all use ieee.std_logic_arith.all use ieee.std_logic_unsigned.all entity ymq is port(num:in std_logic_vector(3 downto 0) dout:out std_logic_vect
  3. 所属分类:assembly language

    • 发布日期:2017-03-23
    • 文件大小:1306
    • 提供者:镜辰
  1. sy4

    0下载:
  2. D74LS74 JK74ls112. LIBRARY IEEE USE IEEE.STD_LOGIC_1164.ALL ENTITY D74LS74 is port(clk,clr,PRE,D:in std_logic QT,QTN:out std_logic) end ENTITY D74LS74 architecture bhv of D74LS74 is signal q,qn:std_logic signal x:std_logic
  3. 所属分类:assembly language

    • 发布日期:2017-03-27
    • 文件大小:944
    • 提供者:镜辰
  1. std_logic_1164

    0下载:
  2. std_logic_1164 package
  3. 所属分类:source in ebook

    • 发布日期:2017-12-03
    • 文件大小:2008
    • 提供者:mgh
  1. SPI-Core_nguyen

    1下载:
  2. SPI Master Core HDL: VHDL 93 Compatibility: all FPGAs, CPLDs parameterization: - variable data width - Phase/polarity configurable - selectable buffer depth - serial clock devision due to system clock package usage: IEEE
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:17918
    • 提供者:AgentNguyex
  1. LIBRARY-IEEE

    0下载:
  2. 加法计数器的设计 任意进制的计数器设计-LIBRARY IEEE USE IEEE.STD_LOGIC_1164.ALL ENTITY sun IS PORT(ENA,CLK_IN,CLR:IN STD_LOGIC Y:OUT STD_LOGIC_VECTOR(6 DOWNTO 0) ) END sun ARCHITECTURE A OF sun IS SIGNAL CLK:STD_LOGIC SIGNAL TEMP:INTEG
  3. 所属分类:assembly language

    • 发布日期:2017-04-03
    • 文件大小:2787
    • 提供者:木子
  1. modulation

    0下载:
  2. 基于FPGA的QPSK调制library ieee use ieee.std_logic_1164.all -FPGA QPSK modulation
  3. 所属分类:assembly language

    • 发布日期:2017-04-02
    • 文件大小:731
    • 提供者:李晨曦
搜珍网 www.dssz.com