CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - std_logic_1164

搜索资源列表

  1. 自动售货机VHDL程序与仿真

    0下载:
  2. library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_logic; --设定、买
  3. 所属分类:文档资料

  1. FIFO_design_reference_document

    0下载:
  2. FIFO设计的参考文档 Project name : Fifo -- Project descr iption : Fifo controller Unit 工程名 : FIFO.VHD 用到库文件IEEE.STD_LOGIC_1164-FIFO reference design document Project name : Fifo -- Project descr iption : Fifo controller Unit -
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:1794
    • 提供者:mhb
  1. sy3

    0下载:
  2. 多路信号复用基带系统的建模与设计,按位同步复接并掌握四路同步复接器的VHDL设计及系统的时序仿真。-library ieee use ieee.std_logic_1164.all use ieee.std_logic_unsigned.all
  3. 所属分类:Project Design

    • 发布日期:2017-03-28
    • 文件大小:208723
    • 提供者:lin
  1. sy2

    0下载:
  2. 晶振频率为4.096MHz,系统同步时钟为256KHz,每个时隙占8位; 四路支路信码各为8位,分别为: 1 1 1 0 0 1 0 1 ;1 1 0 1 1 0 0 1 ;1 0 0 1 1 1 0 1 ; 1 1 1 0 1 0 1 1 ; 复接方式采用:按位同步复接。 -library IEEE use IEEE.std_logic_1164.all use IEEE.std_logic_unsigned.all
  3. 所属分类:Project Design

    • 发布日期:2017-03-27
    • 文件大小:186191
    • 提供者:lin
  1. chuzuche

    0下载:
  2. 一款基于VHDL的EDA计程车计费系统的设计.熟悉Quartus2操作环境-LIBRARY IEEE USE IEEE.STD_LOGIC_1164.ALL USE IEEE.STD_LOGIC_UNSIGNED.ALL ENTITY liuxuanyi IS PORT(C:IN STD_LOGIC_VECTOR(2 DOWNTO 0) DP: OUT STD_LOGIC A1,A2,A3,B1,B2,B3:IN STD_LOGI
  3. 所属分类:Project Design

    • 发布日期:2017-04-01
    • 文件大小:72402
    • 提供者:邱壮雄
  1. PID

    0下载:
  2. PID控制器参考,library  IEEE   use  IEEE.STD_LOGIC_1164.ALL   use  IEEE.STD_LOGIC_ARITH.ALL   use  IEEE.STD_LOGIC_UNSIGNED.ALL  -PID controller reference, libraryIEEE useIEEE.STD_LOGIC_1164.ALL useIEEE.STD_LOGIC_ARITH.A
  3. 所属分类:Project Design

    • 发布日期:2017-11-24
    • 文件大小:5102
    • 提供者:杨冰
  1. vhdl

    0下载:
  2. library ieee use ieee.std_logic_1164.all entity decoder is port (clk:in std_logic clr:in std_logic data_in:in std_logic --待解码信元输入端; data_out:out std_logic) --解码信元输出端; end decoder architecture behave of decoder is component dff2
  3. 所属分类:software engineering

    • 发布日期:2017-11-14
    • 文件大小:378333
    • 提供者:刘轩赫
  1. vhdlll

    0下载:
  2. 八位数码管扫描显示程序,要求显示12345678 间隔四秒显示56789ABC 间隔四秒显示3456789A 再隔4秒显示 -LIBRARY IEEE USE IEEE.STD_LOGIC_1164.ALL USE IEEE.STD_LOGIC_UNSIGNED.ALL ENTITY chenyongqiang IS PORT ( CLK : IN STD_LOGIC SG : OUT STD_LOGIC_VECTOR(6 DOWNTO 0) 段控制信号输出
  3. 所属分类:software engineering

    • 发布日期:2017-04-06
    • 文件大小:819
    • 提供者:hsing
搜珍网 www.dssz.com