CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 计数

搜索资源列表

  1. 基于OpenGL的场景管理

    0下载:
  2.   基于OpenGL的场景管理、三维交互与用户界面设计 林锐 石教英 摘 要:Intra3D 是基于OpenGL的交互式三维图形软件开发工具,其核心是集成了场景数据结构、图形对象、三维交互算法和图形用户界面的C++类库与COM对象库。着重论述:(1)对象引用计数的内存管理技术;(2)场景节点的三维交互设计;(3)用户界面设计。 关键词:开发工具三维交互用户界面  
  3. 所属分类:编程文档

    • 发布日期:2009-01-12
    • 文件大小:77312
    • 提供者:hohoyu
  1. Mifare 1非接触IC卡技术说明

    0下载:
  2. " 非接触数据传输并提供能源(不需电池) " 工作距离:可达100mm (取决于天线尺寸结构) " 工作频率:13.56 MHz " 快速数据传输:106 kbit/s " 高度数据完整性保护:16 Bit CRC,奇偶校验,位编码,位计数 " 真正的防冲突 " 典型票务交易: < 100 ms (包括备份管理)
  3. 所属分类:文档资料

    • 发布日期:2009-04-26
    • 文件大小:319488
    • 提供者:manyhappyreturn
  1. 基于单片机的电子计数式频率计

    0下载:
  2. 所属分类:文档资料

    • 发布日期:2009-05-11
    • 文件大小:2051072
    • 提供者:nikenfax
  1. bq2060a中文

    0下载:
  2. 概述 Bq2060的适应SBS的瓦斯测量集成电路用于电池包或者内部系统装置以维持可再充电电池可用电荷的精确记录.bq2060可监控容量和其他适合于NiCD,NiMH,Li-Ion和致酸化学物质的电池临界参数.bq2060利用带自动偏移量修正的V-F转换器进行充电和放电计数.对于电压,温度和电流报告,bq2060使用A-D转换器.机载的ADC同样也监控Li-Ion电池包的个别单元电压并允许bq2060产生控制信号,这些控制信号可以用于连接电池包监控器以增强电池包安全.
  3. 所属分类:编程文档

  1. 单脉冲作为中断源输入给8259A的IR0

    0下载:
  2. 将单脉冲作为中断源输入给8259A的IR0,按一次脉冲使8259A产生一次中断,中断服务计数中断次数,通过8255输出中断次数至L7~L0显示
  3. 所属分类:文档资料

    • 发布日期:2010-12-16
    • 文件大小:82432
    • 提供者:wghcfx@163.com
  1. 计数式数字频率计的CPLD实现

    1下载:
  2. 所属分类:文档资料

  1. 基于MCS_51单片机的高精度数字测相方法.pdf

    0下载:
  2. 相位是周期信号的一种重要的波形参数. 利用 MCS - 51 单片机与外部电路相结合 ,充分 利用其片内资源 ,采用过零鉴相法 ,高频脉冲填充计数 ,多周期等精度测量方法 ,实现了相位差的高精度 测量. 着重介绍了系统原理及硬软件实现方法
  3. 所属分类:文档资料

  1. mmse仿真

    0下载:
  2. MMse信道估计数,都需要通过导频序列来获取同步信息,而且为了达到更好的均衡效果,OFDM 系统也要进行简单的频域均衡。此外,OFDM与SC.FDE的帧格式也十分相似,都 需要添加CP(SC.FDE采用UW)来吸收前面帧的干扰并满足循环卷积特性。 由于OFDM在结构和原理上都与SC.FDE十分相近,可以设计一种双模式系统。 双模式系统仅仅改变IFFT模块在发送端和接收端的位置就可以同时支持两种系统传 输。两种系统都可以通过编码、自适应调制和空间分集提高性能。而且,
  3. 所属分类:其它文档

    • 发布日期:2012-05-31
    • 文件大小:12430
    • 提供者:nasvril
  1. 单片机课程设计模版

    0下载:
  2. 与单片机相关的课程设计.一、设计目的 1、通过单片机课程设计,熟练掌握汇编语言的编程方法,将理论联系到实践中去,提高我们的动脑和动手的能力。 2、通过交通信号灯控制系统的设计,掌握定时/计数哭的使用方法,和简单程序的编写,最终提高我们的逻辑抽象能力。 二、设计任务和要求 任务:设计一个能够控制十二盏交通信号灯的模拟系统 要求:利用单片机的定时器定时,令十字路口的红绿灯交替点亮和熄灭,并用LED灯显示倒计时间。 三、设计原理分析
  3. 所属分类:文档资料

  1. competition.rar

    0下载:
  2. 本系统是通过凌阳的16位单片机SPCE061A测量电阻、电容和电感对应振荡电路 所产生的频率实现各个参数的测量,一方面测量精度较高,另一方面便于使仪表实现自动化,而且还能加入语音播报的功能使其更加智能化。 其中电阻和电容是采用555多谐振荡电路产生的,而电感则是根据电容三点式产生的。SPCE061A的定时器可以利用外部时钟源来计数,这里我们将RCL的测量电路产生的频率作为单片机SPCE061A的时钟源,通过计数则可以计算出被测频率在通过该频率计算出各个参数。 关键词: SPCE061A单片
  3. 所属分类:Project Design

    • 发布日期:2017-04-04
    • 文件大小:532307
    • 提供者:feng
  1. DigitalElectronicTechnology.ra

    2下载:
  2. 设计制作一个路灯自动照明的控制电路,当日照光亮到一定程度时使路灯自动熄灭,而日照光暗到一定程度时又能自动点亮,开启和关断的日照光亮度根据用户的要求进行调节。设计计时电路,显示路灯当前一次的连续开启时间,设计计数显示电路,统计路灯的开启次数。,Automatic design of a street lamp lighting control circuit, when the bright sunshine to a certain extent so that street lights au
  3. 所属分类:软件工程

    • 发布日期:2017-05-17
    • 文件大小:11093
    • 提供者:siren
  1. F2812WD

    0下载:
  2. F2812的看门狗总结 这是我总结的F2812的看门狗功能使用! 看门狗,又叫 watchdog timer,是一个定时器电路, 一般有一个输入,叫喂狗,一个输出到MCU的RST端,MCU正常工作的时候,每隔一端时间输出一个信号到喂狗端,给 WDT 清零,如果超过规定的时间不喂狗,(一般在程序跑飞时),WDT 定时超过,就回给出一个复位信号到MCU,是MCU复位. 防止MCU死机. 看门狗的作用就是防止程序发生死循环,或者说程序跑飞。 工作原理:在系统运行以后也就启动了看门狗的计数器
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:945
    • 提供者:bonwenli
  1. INT.rar

    0下载:
  2. PIC 16F684 外部脉冲计数,74hc595显示,两路AD采集,PIC 16F684 external pulse count, 74hc595 show that two-way AD acquisition
  3. 所属分类:software engineering

    • 发布日期:2017-03-31
    • 文件大小:560
    • 提供者:armlinuxvc
  1. counter-

    0下载:
  2. 用AT89S51单片机的T0、T1的定时计数器功能,来完成对输入的信号进行频率计数,计数的频率结果通过8位动态数码管显示出来。要求能够对0-250KHZ的信号频率进行准确计数,计数误差不超过±1HZ。-A single chip with AT89S51 T0, T1 timing counter function, to complete the input signal frequency counting, counting the frequency of 8 resulted in t
  3. 所属分类:software engineering

    • 发布日期:2017-03-28
    • 文件大小:14083
    • 提供者:笑笑
  1. pld MegaWizard Plug-In Manager

    1下载:
  2. 利用QuartusII的"MegaWizard Plug-In Manager", 设计输入数据宽度是4bit的ADD、SUB、MULT、DIVIDE、COMPARE 把它们作为一个project,DEVICE选用EPF10K70RC240-4,对它们进行 时序仿真,将仿真波形(输入输出选用group)在一页纸上打印出来。 2.利用QuartusII的"MegaWizard Plug-In Manager"中的LPM_
  3. 所属分类:软件工程

    • 发布日期:2016-01-24
    • 文件大小:32214
    • 提供者:李侠
  1. Counter

    0下载:
  2. 描述的是一个含计数使能异步复位和计数值并行预置功能8 位的加法-With descr iption of a count enable asynchronous reset and preset features of numerical parallel 8-bit adder
  3. 所属分类:software engineering

    • 发布日期:2017-04-04
    • 文件大小:621
    • 提供者:zhskyang
  1. 04

    0下载:
  2. 研究了基于视频图像处理的自行车流量检测方法,主要方法为利用二值化的“时空图像”计算1 像素块儿的个数。给出了各种方法对自行车流量检测的实验结果。-Research on video image processing based on the flow of bicycle detection methods, the main method for the use of binarization of " time and space images," Calculation
  3. 所属分类:File Formats

    • 发布日期:2017-03-30
    • 文件大小:302609
    • 提供者:天子
  1. PLC

    1下载:
  2. 可编程控制器(PROGRAMMABLE CONTROLLER,简称PC)。与个人计算机的PC相区别,用PLC表示。 PLC是在传统的顺序控制器的基础上引入了微电子技术、计算机技术、自动控制技术和通讯技术而形成的一代新型工业控制装置,目的是用来取代继电器、执行逻辑、记时、计数等顺序控制功能,建立柔性的程控系统。国际电工委员会(IEC)颁布了对PLC的规定:可编程控制器是一种数字运算操作的电子系统,专为在工业环境下应用而设计。它采用可编程序的存贮器,用来在其内部存贮执行逻辑运算、顺序控制、定时
  3. 所属分类:行业发展研究

    • 发布日期:2013-12-10
    • 文件大小:171936
    • 提供者:王志
  1. vhdlandclock

    0下载:
  2. 已完成功能 1.完成秒/分/时的依次显示并正确计数; 2.秒/分/时各段个位满10正确进位,秒/分能做到满60向前进位; 3.定时闹钟:实现整点报时,又扬声器发出报时声音; 4.时间设置,也就是手动调时功能:当认为时钟不准确时,可以分别对分/时钟进行调整; -Has completed the function 1. Completed second/min/when followed by display and count right 2. Second/min/h o
  3. 所属分类:Project Design

    • 发布日期:2017-04-29
    • 文件大小:95468
    • 提供者:张宝琳
  1. lab0

    0下载:
  2. ics lab0 题目 用c实现单词计数-ics lab0 topic implementation using c word count
  3. 所属分类:Document

    • 发布日期:2017-04-26
    • 文件大小:27980
    • 提供者:chen
« 1 23 4 5 6 7 8 9 »
搜珍网 www.dssz.com