CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 9

搜索资源列表

  1. 9

    0下载:
  2. Reduced Capacitance Thin-Film H-Bridge Multilevel STATCOM Control Utilizing an Analytic Filtering Scheme
  3. 所属分类:Project Design

    • 发布日期:2017-05-01
    • 文件大小:43145
    • 提供者:SRIDHARAN
  1. neicun

    0下载:
  2. 4.1.1.程序运行为什么需要内存1 4.1.2.程序运行为什么需要内存2 4.1.3.位、字节、半字、字的概念和内存位宽 4.1.4.内存编址和寻址、内存对齐 4.1.5.C语言如何操作内存 4.1.6.内存管理之结构体 4.1.7、内存管理之栈 4.1.8、内存管理之堆 4.1.9、复杂数据结构-nei cun zhe ge da wen ti
  3. 所属分类:software engineering

    • 发布日期:2017-04-30
    • 文件大小:10493
    • 提供者:王马华
  1. 9-IGCH

    0下载:
  2. matlab code communication
  3. 所属分类:Communication

    • 发布日期:2017-04-30
    • 文件大小:162936
    • 提供者:Fafi
  1. Test

    0下载:
  2. opencv第三四章课后习题答案。版本为opencv2.4.9,测试可通过-Chapter three or four answers to exercises after class(OPENCV)
  3. 所属分类:Software Testing

    • 发布日期:2017-04-14
    • 文件大小:3176
    • 提供者:zz
  1. Computer-Network-Experiment-guide

    0下载:
  2. 1.常用网络测试命令的应用。 2.局域网规划与组网 3.路由器配置 4.RIP路由协议配置 5.OSPF路由协议配置 6.NAT配置 7.在交换机上划分VLAN 8.网络服务器配置 9.架构无线局域网 10.TCP/IP协议编程-1. Common network test commands application. 2. 3. LAN planning and networking router configuration routing protocol
  3. 所属分类:Document

    • 发布日期:2017-05-03
    • 文件大小:680892
    • 提供者:yangmingyuan
  1. Keil-9.50a

    0下载:
  2. Micro controller programming
  3. 所属分类:Project Design

    • 发布日期:2017-05-03
    • 文件大小:617525
    • 提供者:tushar
  1. LazyOA_SQL_6.23

    0下载:
  2. 懒人工作通协同管理系统是适用于企事业单位的通用型网络办公软件,融合了云创科技长期从事管理软件开发的丰富经验与先进技术,该系统采用领先的B/S(浏览器/服务器)操作方式,使得网络办公不受地域限制。最新版本全面支持IE6-9、360、遨游、世界之窗等主流桌面浏览器和安卓平台UC浏览器和苹果平台safari浏览器等移动终端。-Lazy work through collaborative management system is applicable to enterprises and instit
  3. 所属分类:Document

    • 发布日期:2017-06-08
    • 文件大小:15479574
    • 提供者:蔡依伦
  1. DFT

    0下载:
  2. 基于循环移位图的全相位滤波器本文提出了一种新型的全相位 D盯 数字滤波器频率响应的求取方法一循环 移位图法 , 它是基于全相位滤波器 和原有 F I R 滤波器的相互关系而构造的 , 充分体现了 o F i u r e r 变换的循环移位性质和 卷积窗的关系。 该方法直观 , 深刻反映 了全相位滤波器的内在机理-T i s p a伴 r p e r s e n t s a n o v e l s o l u ti o n t o a c 明i e r h t e f e r 甲 e n
  3. 所属分类:Communication

    • 发布日期:2017-04-30
    • 文件大小:368653
    • 提供者:何家鹏
  1. Wireless-remote-control-car-program

    0下载:
  2. 本程序主要将现在比较常用TX1300遥控器进行解码,将解码后的数据通过P2端// //口的数码管显示出来,为了更好的看到运行过程,特加了三个指示灯用来指示当 // //前运行状态.P10主要用来闪亮,表示程序正在运行,P11则用来表示接收到数据, // //P12表示触发内部的定时器操作.P13的闪亮表示正确接收完一个数据. // // 程序运行效果: 打开本机电源开关,可以看到P10不停的闪动,按下遥控器的数// //字键,数码管则显示相应的数字键(1-9).可以看到P11
  3. 所属分类:software engineering

    • 发布日期:2017-04-15
    • 文件大小:5611
    • 提供者:hanyu
  1. MATLAB

    0下载:
  2. 抗旱方案的制定于我国西南地区的某个偏远贫困村,年平均降水量不足20mm,是典型的缺水地区。过去村民的日常生活和农业生产用水一方面靠的是每家每户自行建造的小蓄水池,用来屯积每逢下雨时获得的雨水,另一方面是利用村里现有的四口水井。由于近年来环境破坏,经常是一连数月滴雨不下,这些小蓄水池的功能完全丧失。而现有的四口水井经过多年使用后,年产水量也在逐渐减少,在表1中给出它们在近9年来的产水量粗略统计数字。2009年以来,由于水井的水远远不能满足需要,不仅各种农业生产全部停止,而且大量的村民每天要被迫翻山
  3. 所属分类:文档资料

  1. include

    0下载:
  2. 从视频中读取avi,采用opencv2.4.9+vs2010-Avi read the video using opencv2.4.9+ vs2010
  3. 所属分类:software engineering

    • 发布日期:2017-05-08
    • 文件大小:10612
    • 提供者:李四
  1. COMM-604-Channel-Coding-Lecture-9

    0下载:
  2. channel coding PPT, good file
  3. 所属分类:File Formats

    • 发布日期:2017-05-04
    • 文件大小:147369
    • 提供者:ronen
  1. Step-by-step-2.4

    0下载:
  2. 此安裝是在Red Hat 9.0系統下,另外下載2.4.28版本之核心來安裝驅動程式-kernel compile
  3. 所属分类:Software Testing

    • 发布日期:2017-05-07
    • 文件大小:1277049
    • 提供者:liugq
  1. matlab

    0下载:
  2. 对具有随机噪声的二阶系统的模型辨识,进行标幺化以后系统的参考模型差分方程为 (7.90) 式中, 为随机噪声。由于神经网络的输出最大为1,所以,被辨识的系统应先标幺化,这里标幺化系数为5。利用图7.5正向建模(并联辨识)结构,神经网络选用3-9-9-1型,即输入层i,隐层j包括2级,输出层k的节点个数分别为3、9、9、1个; -Model identification of two order systems with stochastic noise
  3. 所属分类:software engineering

    • 发布日期:2017-05-04
    • 文件大小:29263
    • 提供者:zhouyong
  1. libhoard-3.9.tar

    0下载:
  2. Library to manage dinamic memory for multithreaded applications
  3. 所属分类:Communication

    • 发布日期:2017-05-04
    • 文件大小:278352
    • 提供者:ginopino
  1. 潜龙出击 通达信指标

    1下载:
  2. {N=26} STICKLINE(50,50,-50,10,0),COLORFFB900,LINETHICK7; RSVP:=(C-LLV(L,30))/(HHV(H,30)-LLV(L,30))*100-50; KV:=SMA(RSVP,3,1); DV:=SMA(KV,3,1); JV:=3*KV-2*DV; ZDZK1:=EMA(JV,6); ZDZK2:=REF(ZDZK1,1); XW1:=IF(O<=REF(O,1),0,MAX((H-O),(O-
  3. 所属分类:其它文档

  1. Serial-Key

    0下载:
  2. serial for ds max version 9
  3. 所属分类:Software Testing

    • 发布日期:2017-04-12
    • 文件大小:518
    • 提供者:airdriver
  1. PN_VE_6_9_Platform_0311

    0下载:
  2. PN VE 6 9 Platform 03-PN VE 6 9 Platform 0311
  3. 所属分类:software engineering

    • 发布日期:2017-05-04
    • 文件大小:388772
    • 提供者:K
  1. Module-9---Setting-Up-The-PCB

    0下载:
  2. The PCB Library Editor is used to create and modify PCB component footprints and manage PCB component libraries
  3. 所属分类:文档资料

    • 发布日期:2017-05-06
    • 文件大小:552773
    • 提供者:ThienDinh
  1. May-9-2016-work-done--ready-for-RPT

    0下载:
  2. Beacon design files + can be used for RPT and the file format STL
  3. 所属分类:File Formats

    • 发布日期:2017-05-04
    • 文件大小:124672
    • 提供者:konark
« 1 2 ... 36 37 38 39 40 4142 43 44 45 46 »
搜珍网 www.dssz.com