CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - Currency

搜索资源列表

  1. dianzihuobi

    0下载:
  2. 这是一个基于手机的电子货币交易系统的研究论文,谈到了电子货币系统的具体实现算法的讨论-This is a cell phone-based electronic currency trading system of research papers, On the electronic money system is a concrete realization of the algorithms discussed
  3. 所属分类:行业发展研究

    • 发布日期:2008-10-13
    • 文件大小:103263
    • 提供者:许可
  1. 1997qa

    0下载:
  2. 1997年亚洲主要货币汇率及股票指数变动情况-1997 Asian currency exchange rates and changes in the stock index
  3. 所属分类:行业发展研究

    • 发布日期:2008-10-13
    • 文件大小:181555
    • 提供者:刘大
  1. JTXHFANGZHEN

    0下载:
  2. 本文在分析城市交通信号控制研究现状和交叉口交通信号控制原理、评价方 法的基础上,设计了单交叉口交通信号两级模糊控制系统。分级模糊控制能有效 减少模糊规则数,易于提取模糊规则,适合于交通状况复杂的城市交叉口交通信 号控制。但它存在难以由人工合理定义全部模糊隶属度函数的问题。为此本文进 一步采用遗传算法对两级模糊控制器中模糊隶属度函数进行优化。本文提出的方 法具有分级模糊控制的优点,同时可以使模糊隶属度的选取更为合理,获得更好 的控制效果。对一个四相位单交叉口,利用MA
  3. 所属分类:Development Research

    • 发布日期:2017-03-26
    • 文件大小:311970
    • 提供者:刚子
  1. 3

    0下载:
  2. 基于MSP430F149 单片机的温度监测系统的设计介绍了一种通用温度监测仪。阐述了以MSP430F149 为核心的温度检测仪的硬件模块和软件设计。该温度检测仪具有 低成本、低功耗、可靠性高、抗干扰能力强等特点, 根据不同需要可应用于多种温度检测系统中。 关键词:MSP430 , 温度, 检测系统-Abstr act: Introduced a currency instrument of temperature measurement. This instrument was base
  3. 所属分类:Project Design

    • 发布日期:2017-04-05
    • 文件大小:103346
    • 提供者:萧山
  1. vhdl

    0下载:
  2. 找到的一个自动售货机的vhdl程序,关于eda的设计有没有最新的一些说明之类的饿-The vendor 1 of source code . vendor can sell 3 kinds of merchandise: The Hamburg and 1 Yuan of hot dog wrap 2 Yuan and double-deck Hamburg 3 Yuan. It is infinite to set up quantity. 2 . vendor promise input 1
  3. 所属分类:File Formats

    • 发布日期:2017-03-31
    • 文件大小:61664
    • 提供者:myth
  1. AccountingWithCurrency

    0下载:
  2. Convert between the currency
  3. 所属分类:Document

    • 发布日期:2017-05-02
    • 文件大小:595531
    • 提供者:James
  1. EDA07

    0下载:
  2. 能识别5c10c25c三种硬币,可以实现退币找零等功能VHDL编程自动售货机-5c10c25c can identify three kinds of coins and currency can be achieved through irregular features such as back VHDL programming vending machine
  3. 所属分类:Communication

    • 发布日期:2017-04-03
    • 文件大小:4520
    • 提供者:vina
  1. George-Soros

    0下载:
  2. 乔治·索罗斯(英语:George Soros),本名是捷尔吉·施瓦茨(Gyö rgy Schwartz),匈牙利出生的美国籍犹太裔商人;著名的货币投机家,股票投资者,慈善家和政治行动主义分子。现在他是索罗斯基金管理公司和开放社会研究所主席,是外交事务委员会董事会前成员。他以在格鲁吉亚的玫瑰革命中扮演的角色而闻名世界,在美国以募集大量资金试图阻止乔治·布什的再次当选总统而闻名。 -George Soros (in English: George Soros), whose real nam
  3. 所属分类:IT Hero

    • 发布日期:2017-05-25
    • 文件大小:8462634
    • 提供者:王超
  1. ECS_CW-10

    0下载:
  2. The goal of this coursework is to utilise web services to compose a travel agency. The travel agency consists of three independent services: flight booking, hotel reservation, and currency conversion. You will build the first two application se
  3. 所属分类:Development Research

    • 发布日期:2017-03-29
    • 文件大小:89953
    • 提供者:asd
  1. 15

    0下载:
  2. 中国金融集成电路(IC)卡规范 第15部分:电子现金双币支付应用规范-China financial integrated circuit (IC) card specification- Part fifteenth: electronic cash dual currency payment application specification
  3. 所属分类:File Formats

    • 发布日期:2017-04-16
    • 文件大小:369209
    • 提供者:紫夜轩
  1. 8101

    1下载:
  2. 适用于长期交易的通用智能交易。在该智能交易中使用平均数的方法,显示多种货币对的盈利。-EAs for general long-term deal. The use of averages in the EA methods for displaying multiple currency earnings.
  3. 所属分类:Software Testing

    • 发布日期:2017-04-14
    • 文件大小:2863
    • 提供者:zds
  1. Visa-Immrigration

    0下载:
  2. Global is a web based Immigration portal, which helps the immigration aspirants to get information regarding different types of visas for different countries. It provides the registered users with the application which include application process and
  3. 所属分类:Project Design

    • 发布日期:2017-05-21
    • 文件大小:6233156
    • 提供者:robin
  1. ValidateValues

    0下载:
  2. this validation calss can be use for validate all values in java application development tel number, number, currency and email stuf
  3. 所属分类:software engineering

  1. code-floyd

    0下载:
  2. floyd algorithm code source for the random monetary value and finding the shortest path to get the optimal price one currency to another-floyd algorithm code source for the random monetary value and finding the shortest path to get the optimal price
  3. 所属分类:Development Research

    • 发布日期:2017-04-13
    • 文件大小:1719
    • 提供者:amir160193
  1. 50-srilanka

    0下载:
  2. this project mainly related with currency recognition using labview.
  3. 所属分类:Project Design

    • 发布日期:2017-04-30
    • 文件大小:43439
    • 提供者:sharaenya
  1. 10-singapore

    0下载:
  2. this project mainly related with currency recognition using labview.
  3. 所属分类:Project Design

    • 发布日期:2017-05-01
    • 文件大小:44174
    • 提供者:sharaenya
  1. 5-malaysia

    0下载:
  2. this project mainly related with currency recognition using labview.
  3. 所属分类:Project Design

    • 发布日期:2017-04-30
    • 文件大小:44419
    • 提供者:sharaenya
  1. 外汇资金管理系统功能简介(1)

    1下载:
  2. 新一代本币交易系统前台操作手册,用于开发人员开发功能参考(New generation of local currency trading system, front desk manual, for developers to develop functional reference)
  3. 所属分类:文章/文档

    • 发布日期:2017-12-12
    • 文件大小:1429561
    • 提供者:xiewm0620
  1. fuzzyfilter

    0下载:
  2. This paper presents a fuzzy logic algorithm to control DC-bus voltage of a shunt active power filter (APF). This work is done to illustrate the Performance and robustness of current Identification references by calculating the harmonics (THD) res
  3. 所属分类:文章/文档

    • 发布日期:2018-01-10
    • 文件大小:891904
    • 提供者:sbr energie
  1. 区块链从数字货币到信用社会

    0下载:
  2. 区块链介绍的好书,区块链从数字货币到信用社会。(The good book of the block chain is that the block chain is from the digital currency to the credit society.)
  3. 所属分类:文章/文档

    • 发布日期:2018-04-21
    • 文件大小:82009088
    • 提供者:长空飞雪
« 12 »
搜珍网 www.dssz.com