CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - entity

搜索资源列表

  1. 自动售货机VHDL程序与仿真

    0下载:
  2. library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_logic; --设定、买
  3. 所属分类:文档资料

  1. OFBIZ入门

    0下载:
  2. Ofbiz(http://www.ofbiz.org) 是 Open Source 的商务软件系统,充分利用了各优秀的的Open Source 项目, 像 Tomcat, Ant, BeanShell, Jboss 等,构建了一个强大的系统平台,Ofbiz 已经完成了大部分商务类软件系统 都需要的部件,像用户认证、工作流、商务规则处理等,Ofbiz 的核心技术在于 Entity Engine,其他的组件基本都 是基于它的。简单来说 Entity Engine 的主要功能是将数据库表创建、
  3. 所属分类:网站建设

    • 发布日期:2011-07-21
    • 文件大小:142.5kb
    • 提供者:18641496@qq.com
  1. ICTCLAS2009.对中文进行分词并对其词性标注

    1下载:
  2. 对中文进行分词并对其词性标注;命名实体识别;新词识别;同时支持用户词典,To be conducted in Chinese word segmentation and POS tagging Named Entity Recognition new word identification simultaneously support the user dictionary
  3. 所属分类:软件工程

    • 发布日期:2016-04-13
    • 文件大小:54.4kb
    • 提供者:ictclas
  1. Entity

    0下载:
  2. 自己编写的wcf服务,喜欢大家喜欢,-I have written a wcf service, like you like, thank you .....
  3. 所属分类:Project Manage

    • 发布日期:2017-04-08
    • 文件大小:125.88kb
    • 提供者:love
  1. custom-entity-_2

    0下载:
  2. 找了很久的一片国外介绍objectarx中自定义实体的文章,英文的,很容易懂的。-Abroad for a long time to find a custom entity in the introduction objectarx articles, in English, it is easy to understand.
  3. 所属分类:Project Manage

    • 发布日期:2017-03-28
    • 文件大小:696.67kb
    • 提供者:曹操
  1. CHMM

    0下载:
  2. 使用层叠隐马模型解决命名实体识别问题,含有训练语料及测试预料。-Implicit use of cascading Ma Named Entity Recognition Model to solve the problem, containing training materials and tests are expected words.
  3. 所属分类:File Formats

    • 发布日期:2017-03-26
    • 文件大小:482.57kb
    • 提供者:糊涂虫
  1. 123

    0下载:
  2. 基于web的工资管理系统设计与实现.doc 里面有完整的管理信息系统,数据库,工资管理,实体关系图(E-R图),数据字典,数据流图。-Web-based salary management system design and implementation. Doc there is a complete management information system, database, payroll management, entity relationship diagram (ER di
  3. 所属分类:Project Design

    • 发布日期:2017-04-07
    • 文件大小:331.4kb
    • 提供者:xiwei7856
  1. C__Team_Manager

    0下载:
  2. 一个用于开发人员面试以及测试的实体和要求。-One for the developers and the testing entity interviews and requirements.
  3. 所属分类:Project Manage

    • 发布日期:2017-04-11
    • 文件大小:1008byte
    • 提供者:wayne
  1. 06_Tomcat

    0下载:
  2. 在Java语言中,DataSource对象就是一个代表数据源实体的对象。一个数据源就是一个用来存 储数据的工具,它可以是复杂的大型企业级数据库,也可以是简单得只有行和列的文件。数据源可 以位于在服务器端,也可以位于客服端。 -In the Java language, DataSource object is a data source on behalf of the target entity. A data source is a tool used to store data
  3. 所属分类:software engineering

    • 发布日期:2017-04-28
    • 文件大小:10.95kb
    • 提供者:vivian
  1. room

    2下载:
  2. 图书管理系统(学生选课系统,学校人事管理系统,医院病历管理系统)。 对待开发软件提出的需求进行分析并给出详细的定义,分析实现工程目标应该采取的策略及系统必须完成的功能。确定系统必须完成哪些工作,对目标系统提出完整、准确、清晰、具体的要求,画出实体关系图、数据流图、状态转换图,创建数据字典,编写软件需求说明书。 -Book Management System (elective system students, school personnel management systems, ho
  3. 所属分类:Document

    • 发布日期:2017-03-27
    • 文件大小:235.26kb
    • 提供者:王大军
  1. Multimedia

    0下载:
  2. 媒体(Medium)在计算机领域中有两种含义,一是指用以存储信息的实体,如磁盘、磁带、光盘和半导体存储器;一是指信息的载体,如数字、文字、声音、图形图像和视频等。-Media (Medium) in the computer field, there are two meanings, one refers to the entity to store information, such as disk, tape, CD-ROMs and semiconductor memory The f
  3. 所属分类:software engineering

    • 发布日期:2017-06-09
    • 文件大小:15.97mb
    • 提供者:一生
  1. EntityFramework

    0下载:
  2. Entity Framework学习 -Entity Framework learning learning Entity Framework
  3. 所属分类:Document

    • 发布日期:2017-04-06
    • 文件大小:532.71kb
    • 提供者:LDP
  1. code

    0下载:
  2. 软件工程 实体编码 具体实现 详细代码 图书馆管理系统-Concrete realization of software engineering entity encoding code for library management system in detail
  3. 所属分类:software engineering

    • 发布日期:2017-05-16
    • 文件大小:3.62mb
    • 提供者:SUNNY
  1. entity frame work 使用说明

    0下载:
  2. entity frame work 使用说明
  3. 所属分类:编程文档

    • 发布日期:2013-07-22
    • 文件大小:2.71mb
    • 提供者:availa
  1. Comparable-Entity-Mining-from-Comparative-Questio

    0下载:
  2. Comparable Entity Mining from Comparative Questions
  3. 所属分类:software engineering

    • 发布日期:2017-05-03
    • 文件大小:656.63kb
    • 提供者:md adil
  1. Programming-Entity-Framework-

    0下载:
  2. 介绍Entity Framework的英文原版书籍,详细讲述了Microsoft提供的O/RM技术。-Entity Framework introduces the English original book, a detailed account of the O/RM technology Microsoft.
  3. 所属分类:software engineering

    • 发布日期:2017-05-25
    • 文件大小:8.26mb
    • 提供者:tony
  1. Entity-Framework-6-

    0下载:
  2. Entity Framework技术的使用,介绍最新版本EF6的跟新-Use Entity Framework technology, introduced with the latest version of the new EF6
  3. 所属分类:software engineering

    • 发布日期:2017-05-27
    • 文件大小:9.14mb
    • 提供者:tony
  1. Entity-Framework-Code-First

    0下载:
  2. 介绍Entity Framework中的代码优先方式-Introduced in Entity Framework Code First approach
  3. 所属分类:software engineering

    • 发布日期:2017-05-12
    • 文件大小:2.63mb
    • 提供者:tony
  1. Entity-Framework-DbContext

    0下载:
  2. Orielly Programming Entity Framework 系列的另一本书,介绍DbContext的使用-Orielly Programming Entity Framework another book series, introduces the use DbContext
  3. 所属分类:software engineering

    • 发布日期:2017-05-24
    • 文件大小:3.35mb
    • 提供者:tony
  1. Weak-Entity-Sets

    0下载:
  2. Descr iption about weak entity sets
  3. 所属分类:software engineering

    • 发布日期:2017-05-04
    • 文件大小:225.5kb
    • 提供者:MEENU
« 12 3 4 »
搜珍网 www.dssz.com