搜索资源列表
网站建设合同
- 受甲方委托,乙方为甲方建立信息发布网站,双方就有关事项达成协议,是一份网站建设的合同模版,对起草网站合同很有用- The party of the first part request, 涔欐柟 is established the information for the party of the first part to issue the website, both sides reach the agreement on the related item, is a website
软件工程教程
- 每个软件工程师在做任何一个项目的时候,都要有一定的规范,如果你是个总工程师,那么你就必须要有一个总的指导思想.这个是转载于其他网站上的.很经典-every software engineer in any one item, must have certain norms, If you are a Chief Engineer, then you must have an overall guiding ideology. this is reproduced in other on the
项目模板
- 超详细的项目管理的中文模板 项目经理不可或缺的文档集 包括立项管理 结项管理 项目规划 项目监控 风险管理 需求管理 需求开发 技术预研 系统设计 实现与测试 系统测试 Beta测试 客户验收 技术评审 配置管理 质量保证 外包与采购管理 培训管理 服务与维护-ultra-detailed project management templates Chinese project manager indispensable document also includes creation manag
guilinfangdichanxinxiwang
- 桂林市房地产信息网方案 前 言 6 第一章 概述 7 1.1定义与简称 7 1.2项目建设背景 7 1.3项目建设目标 8 1.4方案设计原则 8 第二章 需求分析 10 2.1行业需求分析 10 2.1.1国内行业现状 10 2. 2网络系统需求分析 10 2.2.1信息化的扩展 10 2.3 应用系统功能需求分析 11 2.3.1内部业务系统 11 2.3.2信息服务网站 11 -Guilin Real Estate Informa
22aaaaa
- 以有序表表示静态数据源,使用二分查找指定关键字的数据项的位置。-said in an orderly form of static data sources, the use of two-keyword search for the specified data item position.
xiangxishejishuomingshu
- 五、详细设计说明书 1.引言 1 1.1编写目的 1 1.2项目背景 1 1.3定义 2 1.4参考资料 2 2.总体设计 2 2.1需求概述 2 2.2软件结构 2 3.程序描述 2 3.1功能 3 3.2性能 3 3.3输入项目 3 3.4输出项目 3 3.5算法 3 3.6程序逻辑 3 3.7接口 3 3.8存储分配 3 3.9限制条件 3 3.10测试要点 3-5, a detailed design sp
los
- 操作系统课程设计 1、模拟UNIX(linux)文件系统 [问题描述] 在任一OS下,建立一个大文件,把它假象成一张盘,在其中实现一个简单的 模拟UNIX文件系统 。 [基本要求] 1.在现有机器硬盘上开辟20M的硬盘空间,作为设定的硬盘空间。 2.编写一管理程序对此空间进行管理,以模拟UNIX(linux)文件系统,具体要求如下: (1) 要求盘块大小1k 正规文件 (2) i 结点文件类型 目录文件 (共1byte) 块设备 管道文
SComm_helper
- 1.建立项目 2.在项目中插入MSComm控件 3.利用ClassWizard定义CMSComm类控制变量 4.在对话框中添加控件 5.添加串口事件消息处理函数OnComm() 6.打开和设置串口参数 7.发送数据 -1. Item 2 of the establishment. Insert the project MSComm 3. Use ClassWizar d definition CMSComm category four control v
20040511181824931123122
- 图书管理 1.将各个项目子目录拷贝到硬盘上,然后可以用Visual Basic打开程序。 2.在每个项目子目录里面的sql目录里,将后缀.sql的文件打开后,拷贝到SQL Server 2000的查询分析器里。然后执行将产生需要的数据库结构。-management of a library. All projects will subdirectory copy to the hard drive, which can then be opened in Visual Basic procedu
自动售货机VHDL程序与仿真
- library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_logic; --设定、买
顺序表的应用
- 顺序表的应用 (1) 已知长度为n的线性表A采用顺序存储结构,请写一时间复杂度为0(n)、空间复杂度为0(1)的算法,该算法删除线性表中所有值为item的数据元素。要求:线性表元素个数n很大,而值为item的数据元素个数很少,要求移动元素个数尽量少;删除后的数组元素与原数组元素不必保持顺序一致。 (2)编写一个函数将一个顺序表A(有n个元素,且任何元素均不为0)分拆成两个顺序表,使A中大于0的元素存放在B中,小于0的元素存放在C中。 (3)假设一个算术表达式中包含圆括号,方括号
计算容积算法
- 有一个箱子容量为V(正整数,0≤V≤20000),同时有n个物品(0≤n≤30),每个物品有一个体积(正整数)。要求从n个物品中,任取若干个装入箱内,使箱子的剩余空间为最小。 ,Capacity of a box V (positive integer, 0 ≤ V ≤ 20000), at the same time there are n items of (0 ≤ n ≤ 30), each item has a size (positive integer). N months fr
CS_SKIN_New
- CS程序员之窗新版换肤控件绚丽登场 摘要:CS程序员之窗新版换肤控件将提供更快捷灵活的换肤和更绚丽的换肤效果,提供颜色、背景图和多图片组合的多种换肤方式,而且可以通过XML文件配置皮肤。本篇介绍Form、MessageBox、Button的换肤和皮肤管理的实现。 -vs cs programer draw beautifule MenuStripContextMenuStrip skin http://item.taobao.com/item.htm?id=
shizixiushangdian
- 付费购买的,网上十字锈商店项目解决方案,质量很高-Paid to purchase, on-line store item Cross rust solutions, high quality
CheckoutManagement
- 介绍了结项管理的目的、流程、申请书、评估与收尾活动等。-Introduction The purpose of end-item management, process, application, evaluation and finishing activities.
Z85C30-item-Identify-system-design
- 基于Z85C30的动目标识别系统的串行通信设计-Z85C30 movement item Identification system design document
Item-ten-touch-screen-application
- 触摸屏作为一种新型的人机界面,从一出现就受到关注,它的简单易用,强大的功能及优异的稳定性使它非常适合用于工业环境,甚至可以用于日常生活之中,应用非常广泛,比如:自动化停车设备、自动洗车机、天车升降控制、生产线监控等,甚至可以用于智能大厦管理、会议室声光控制、温度调整等。 通过完成触摸屏控制变频器的多段速和触摸屏对物料个数的监控控制两个工作任务,学会触膜屏的简单操作,会用触膜屏控制或监控简单的机电一体化设备。 项目十__触摸屏的应用 -As a new touch-screen int
Item
- 这个就是MU的原版客户端文件里的ITEM备份,可以用来修改-Clients original files, you can back up with it, ha ha ha ha ha ha ha ha
java-item-
- Java 项目说明, 简单的例子能够说明如果列举项目类别-Java item examples for conduct the item serilization
Usefull Codes
- all about code item in rf