CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 技术管理 搜索资源 - 4.2.0

搜索资源列表

  1. windlx

    0下载:
  2. 经统计,某机器14条指令的使用频度分别为:0.01,0.15,0.12,0.03,0.02,0.04,0.02,0.04,0.01,0.13,0.15,0.14,0.11,0.03。分别求出用等长码、Huffman码、只有两种码长的扩展操作码3种编码方式的操作码平均码长。 解: 等长操作码的平均码长=4位 Huffman编码的平均码长=3.38位 只有两种码长的扩展操作码的平均码长=3.4位。 9.若某机要求:三地址指令4条,单地址指令255条,零地址指令16条。设指令
  3. 所属分类:技术管理

    • 发布日期:2008-10-13
    • 文件大小:144601
    • 提供者:lily
  1. Foxmailattack

    0下载:
  2. Foxmail 5远程缓冲区溢出漏洞 注:本文是2004年2月xfocus成员在内部技术交流中提出的,在此之前,启明星辰技术人员已经发现这一漏洞,但未公开细节,xfocus成员听说存在这一漏洞后对Foxmail进行分析,并写出利用代码。 测试环境:win2k sp4+foxmail 5.0.300 以前测试foxmail 4.x的时候曾经发现过溢出漏洞,不过后来一直没时间研究就先放下了,后来听说Foxmail5也有溢出,但是一直没有看见公布。于是没事的时候干脆自己研究一下,测试后发现
  3. 所属分类:技术管理

    • 发布日期:2008-10-13
    • 文件大小:12975
    • 提供者:丁兴全
  1. differenct

    0下载:
  2. 堆和栈的区别 一、预备知识—程序的内存分配 一个由c/C++编译的程序占用的内存分为以下几个部分 1、栈区(stack)— 由编译器自动分配释放 ,存放函数的参数值,局部变量的值等。其操作方式类似于数据结构中的栈。 2、堆区(heap) — 一般由程序员分配释放, 若程序员不释放,程序结束时可能由OS回收 。注意它与数据结构中的堆是两回事,分配方式倒是类似于链表,呵呵。 3、全局区(静态区)(static)—,全局变量和静态变量的存储是放在一块的,初始化的全局变量和静态变量在
  3. 所属分类:技术管理

    • 发布日期:2008-10-13
    • 文件大小:14949
    • 提供者:stevephen
  1. WindowsCE-Develpment

    1下载:
  2. Windows CE开发全接触 WinCE操作系统简介 Platform Builder 4.2简介 WinCE产品开发流程介绍 WinCE系统开发 WinCE驱动开发 WinCE应用程序开发工具介绍 WinCE应用程序开发 WinCE开发FAQ -Windows CE operating system development Keys WinCE Introduction Platform Bui lder WinCE 4.2 on the product
  3. 所属分类:技术管理

    • 发布日期:2008-10-13
    • 文件大小:266110
    • 提供者:wang sh
  1. vc++source

    1下载:
  2. 文档里面包含五个系统代码。分别为1.公司人员管理系统;2.新科库存管理系统;3.高校学籍管理系统;4.高校工资管理系统;5.高校人事管理系统。 PS:希望能通过审核,加入这个大家庭,与大家共同学习进步!
  3. 所属分类:技术管理

    • 发布日期:2008-10-13
    • 文件大小:36929
    • 提供者:老庄
  1. ComputerNetworkTechnology

    0下载:
  2. 第1章 概述.doc 第2章 物理层.doc 第3章 数据链路层.doc 第4章 信道共享技术.doc 第5章 局域网.doc 第6章 广域网.doc 第7章 网络互连.doc 第8章 运输层.doc
  3. 所属分类:技术管理

    • 发布日期:2008-10-13
    • 文件大小:353348
    • 提供者:ppt919
  1. Linux1

    0下载:
  2. Linux常用命令 1 文件列表 – ls : ls #以默认方式显示当前目录文件列表; ls –a #显示所有文件包括隐藏文件; ls –l #显示文件属性,包括大小,日期,符号连接,是否可读写及是否可执行。 2 目录切换 – cd : cd dir #切换到当前目录下的dir 目录; cd / #切换到根目录; cd .. #切换到到上一级目录。 3 复制 – cp : cp source target #将文件source 复制为 target;
  3. 所属分类:技术管理

    • 发布日期:2008-10-13
    • 文件大小:6456
    • 提供者:luxx
  1. TC35i

    0下载:
  2. TC35i新版西门子工业GSM模块是一个支持中文短信息的工业级GSM模块,工作在EGSM900和GSM1800双频段,电源范围为直流3.3~4.8V ,电流消耗——休眠状态为3.5mA,空闲状态为25mA,发射状态为300mA(平均),2.5A峰值;可传输语音和数据信号, 功耗在EGSM900(4类)和GSM1800(1类)分别为2W和1W ,通过接口连接器和天线连接器分别连接SIM卡读卡器和天线。SIM电压为3V/1.8V,TC35i的数据接口(CMOS电平)通过AT命令可双向传输指令和数据,
  3. 所属分类:技术管理

    • 发布日期:2008-10-13
    • 文件大小:816048
    • 提供者:003344
  1. JDBCandJNDI_difference

    0下载:
  2. JDBC与JNDI的区别 :没有JNDI的做法存在的问题:1、数据库服务器名称MyDBServer 、用户名和口令都可能需要改变,由此引发JDBC URL需要修改;2、数据库可能改用别的产品,如改用DB2或者Oracle,引发JDBC驱动程序包和类名需要修改;3、随着实际使用终端的增加,原配置的连接池参数可能需要调整;4、
  3. 所属分类:技术管理

    • 发布日期:2008-10-13
    • 文件大小:2250
    • 提供者:小杨
  1. pluto(2)

    0下载:
  2. pluto实现分析(2) 本文主要是解析openswan-2.4.7中的pluto的实现过程,代码基本都是在programs/pluto-openswan-2.4.7 pluto
  3. 所属分类:Project Manage

    • 发布日期:2017-04-06
    • 文件大小:5335
    • 提供者:jia
  1. H.264andotherviedostandard

    0下载:
  2. 摘要:本文介绍了传统的压缩标准及最新的编解码技术。 关键词:视频压缩;H.263;M- JPEG;MPEG- 1;MPEG- 2;MPEG- 4;Real Video;WMT;QuickTime-Abstract: This paper introduces the traditional compression standard and the latest codec technology. Key words: video compression H.263 M-JPEG MPE
  3. 所属分类:Project Manage

    • 发布日期:2017-04-08
    • 文件大小:45992
    • 提供者:zjt_84
  1. IEC61000-4-15_Flickermeter-Functional_and_design_s

    1下载:
  2. IEC电压闪变仪的标准,找了很久才找到的。拿出来共享,希望有朋友会用的上-Voltage Flicker IEC standard instrument, to find for a long time to find the. Out to share the hope that friends will be used on
  3. 所属分类:Project Manage

    • 发布日期:2015-06-27
    • 文件大小:433150
    • 提供者:小孩
  1. Futurestrade

    0下载:
  2. 前 言 II 1 范围 1 2 术语和定义 1 2.1 有关期货的术语 1 2.2 有关交易的术语 2 2.3 有关报单的术语 3 3 体系结构 4 3.1 要求 5 3.2 通讯模式 5 3.3 通讯模式举例 6 3.4 通讯模式和数据流 10 4 报文格式 11 4.1 FTD报文 11 4.2 FTDC报文 12 4.3 主要业务运作机制 15 4.4 关键数据的说明 18 4.5 报文清单 23 5 安全性要求 30
  3. 所属分类:Project Manage

    • 发布日期:2017-03-26
    • 文件大小:266143
    • 提供者:lisanqiu
  1. fontconfig-2.4.2.tar

    0下载:
  2. freebsd 安装java需要的文件,可以放到目录 /usr/ports/distfiles -freebsd install java files needed, you can put the directory/usr/ports/distfiles
  3. 所属分类:Project Manage

    • 发布日期:2017-05-04
    • 文件大小:1278340
    • 提供者:chendan
  1. ZStack-CC2430-install

    0下载:
  2. 关于TI ZStack-CC2430-1.4.2-1.1.0安装的说明-About TI ZStack-CC2430-1.4.2-1.1.0 installation instructions
  3. 所属分类:Project Manage

    • 发布日期:2017-04-01
    • 文件大小:184739
    • 提供者:sdvsdf
  1. virtual-machine-tutorial

    0下载:
  2. 虚拟机教程4篇、分区教程4篇、高格教程2篇、低格教程3篇、BIOS教程7篇、WINPE教程2篇和系统安装教程4篇,共计26篇教-4 virtual machine tutorial, four partitions tutorial, tutorial of Gog two low grid tutorial 3, the BIOS Tutorial 7 Tutorial 4 2 WINPE tutorial and system installation, a total of 26 teac
  3. 所属分类:Project Manage

    • 发布日期:2017-06-20
    • 文件大小:30273420
    • 提供者:龙宇
  1. UberGallery-v2.4.2

    0下载:
  2. 一个简单易用的相册,使用PHP语言开发,不需要数据库。UberGallery的设计基于超简单的管理,外观整洁和专业。它无需数据库和管理控制。为你的公文包添加项目就和上传相片一样简单,同时你可为每一个图片集付上信息文档,公文包里东西的运行均是通过一个简单的php文档,你可以通过html和css样式表来很容易的定制php文档。UberGallery适合摄影师,美术设计者,插图画家,web开发者-A simple and easy-to-use photo album, using PHP langu
  3. 所属分类:Project Manage

    • 发布日期:2017-11-14
    • 文件大小:95823
    • 提供者:ningf09
  1. kexinxingfengxi

    0下载:
  2. 可行性研究报告模板 目录 1.引言 2 1.1编写目的 2 1.2项目背景 2 1.3定义 2 1.4参考资料 2 2.可行性研究的前提 2 2.1要求 2 2.2目标 3 2.3条件、假定和限制 3 2.4可行性研究方法 3 2.5决定可行性的主要因素 3 3.对现有系统的分析 3 3.1处理流程和数据流程 3 3.2工作负荷 3 3.3费用支出 3 3.4人员 4 3.5设备 4 3.6局限性 4 4.所建议技术可行
  3. 所属分类:Project Manage

    • 发布日期:2017-11-11
    • 文件大小:10847
    • 提供者:陈志
  1. KT403A_V1.2

    0下载:
  2. 1、客户可以先按照QYM5FS来测试功能。 2、如果自己贴芯片在板子上的话,一定要严格的按照我们的设计参考,这样才能 保证成功率 3、芯片方案的所有的功能均在"QYM5FS使用说明书.pdf"里面有详细的说明 如果有不详细的地方,请参考"QYM1FS_V1.6使用说明书.pdf" 4、QYM5FS实际是从QYM1FS模块中剪裁出来的。保留了所有的串口操作部分-1, the customer can first follow QYM5FS to test the funct
  3. 所属分类:Project Manage

    • 发布日期:2017-03-23
    • 文件大小:84928
    • 提供者:曾辉
  1. TFT-2.4DATA

    0下载:
  2. 2.4英寸真彩显示屏的规格书中文说明无须再增加屏驱IC-2.4 inch TFT true color display specification
  3. 所属分类:Project Manage

    • 发布日期:2017-05-09
    • 文件大小:1681582
    • 提供者:situdz
« 12 3 4 »
搜珍网 www.dssz.com