CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 其它资源 搜索资源 - 4.5

搜索资源列表

  1. 求解方程

    0下载:
  2. Basic theory: 1. # of roots = highest power 2. All rational roots will be factors of k / factors of a in the general equation of ax^n + bx^(n-1) + ... + cx + k 3. Quadratic formula can solve for irrational / imaginary roots (i dont know cubic /
  3. 所属分类:其它程序

  1. 单片机课程设计-数字音乐盒

    4下载:
  2. 课程设计题目:数字音乐盒 课程设计要求:1.利用I/O口产生一定频率的方波,驱动蜂鸣器,发出不同的音调,从而演奏乐曲(最少三首乐曲,每首不少于30秒) 2.采用LCD显示信息 3.开机时有英文欢迎提示字符,播放时显示歌曲序号(或名称) 4.可通过功能键选择乐曲,暂停,播放。 5.选作内容:显示乐曲播放时间或剩余时间 硬件电路:本设计中用到了89C51单片机,4*4键盘,蜂鸣
  3. 所属分类:其它程序

  1. AutoCAD ActiveXVBA二次开发技术基础及应用实例

    5下载:
  2. 1) 《源程序》文件夹 (1)Acad_firstVBA.dvb(VBA引例) (2)Acad_VBA画圆.dvb(VBA示例) (3)Pjfq.vbp(VB引例:简易加法器) (4)Pjsq.vbp(VB引例:简易计算器) (5)Pshell.vbp(应用shell函数调绘制齿轮、带轮和轴的可执行文件) (6)L3-1.vbp(综合示例:创建对AutoCAD部件引用) (7)L4-1.vbp(综合示例:控制AutoCAD环境和组织图形元素) (8)L5-1.vbp(综合示例:图
  3. 所属分类:其它资源

  1. 串口 工具

    1下载:
  2. 1、 多种通讯方式,既可以用串口通讯方式,也可以用TCP/IP通讯方式; 2、 分单报文和多报文发送方式, 常用的报文可以放到多报文发送发送方式中,可以连续发送,时间间隔可以自己设置; 3、 发送和接收报文可选择采用ASCII码格式(支持中文)或16进制数据方式; 4、 在通讯的时候,发送和接收的报文用不同的颜色显示,清晰悦目。同时也给出发送和接收的精确到毫表的时间,令用户准确把握通讯质量; 5、 友好的人机界面,全面支持各个按钮的快捷键操作。接收报文窗口内容在软件关闭时自动保存在当前目
  3. 所属分类:其它程序

    • 发布日期:2010-09-14
    • 文件大小:471326
    • 提供者:baimitang
  1. 通用版IAR EW Keygen(适合多版本)

    4下载:
  2. 适合多个版本的IAR Embedded Workbench的Keygen,如MCS-51 7.50A/7.51A、Atmel AVR 5.11B/R32 2.22A、ARM 5.20、Renesas M16C/R8C 3.20A、NEC 78K 4.30A、MSP 430 4.11B、Samsung SAM8 2.21A、CR16C 2.11A、NEC 850 3.30A......
  3. 所属分类:注册器

    • 发布日期:2010-09-26
    • 文件大小:344872
    • 提供者:tiger729
  1. IAR for ARM 5.3-5.4 注册机

    7下载:
  2. IAR for ARM 5.3-5.4-5.4.1版本 的注册机
  3. 所属分类:注册器

  1. citrix 4.5 50 user lic

    0下载:
  2. citrix 4.5 50 user lic 测试通过
  3. 所属分类:注册器

    • 发布日期:2011-02-16
    • 文件大小:872
    • 提供者:jinyi238
  1. 混沌时间序列分析与预测工具箱

    1下载:
  2. 混沌时间序列分析与预测工具箱,该工具箱包括了混沌时间序列分析与预测的常用方法有:(1)产生混沌时间序列;(2)求时延的;(3)求嵌入维的;(4)同时求时延与嵌入窗的;(5)求关联维的;(6)求最大Lyapunov指数的;(7)混沌时间序列预测
  3. 所属分类:其它资源

    • 发布日期:2011-05-01
    • 文件大小:376819
    • 提供者:hosa521
  1. gromacs-4.5.3.tar.gz

    1下载:
  2. 所属分类:其它程序

  1. rectangle.cpp

    0下载:
  2. 假定矩阵大小为4×5(整型数组表示); 定义矩阵初始化函数,可以从cin中输入矩阵元素; 定义矩阵输出函数,将矩阵格式化输出到cout; 定义矩阵相加的函数,实现两个矩阵相加的功能,结果保存在另一个矩阵中; 定义矩阵相减的函数,实现两个矩阵相减的功能,结果保存在另一个矩阵中; 定义三个矩阵:A1、A2、A3; 初始化A1、A2; 计算并输出:A3 = A1加A2,A3 = A1减A2。
  3. 所属分类:其它程序

  1. esrxp

    0下载:
  2. 从RMVB文件中提取字幕。 首先1.把下载好的的 esrXP文件解压缩。      2.打开解压缩后的文件夹。      3.运行“esrXP.exe”可执行文件。      4.在esrXP程序的菜单中,选择“Option”-->“Language...”选项      5.这时弹出一个文件打开对话框,我们要选择的是简体中文或繁体中文。因此打开“Simplifide Chinese.ini”或“Tranditional Chinese.ini”。选择好后,这时程序的操作
  3. 所属分类:其它程序

  1. CH341A编程器软件1.18免注册版

    0下载:
  2. 软件操作注意事项: 1. CH341A.EXE 24\25编程器软件中, 检测功能仅属于辅助功能, 由于部份芯片的数据手册找不到, 无法得到对应芯片的标识厂商\芯片ID的信息, 才造成此功能未能完善, 所以有部份芯片是无法检测出的, 所以说检测功能的准确性也并非百分百. 2.有关检测识别错误问题(指MX25L6445识别为MX25L6405之类), 例如MX25L6405和MX25L6406,MX25L6445, 三个型号的芯片设备号都是相同的, 所以就导至误识别. 3.
  3. 所属分类:其它程序

    • 发布日期:2013-10-28
    • 文件大小:11487010
    • 提供者:976589701@qq.com
  1. CH341A编程器绿板 1.18

    0下载:
  2. 软件操作注意事项: 1. CH341A.EXE 24\25编程器软件中, 检测功能仅属于辅助功能, 由于部份芯片的数据手册找不到, 无法得到对应芯片的标识厂商\芯片ID的信息, 才造成此功能未能完善, 所以有部份芯片是无法检测出的, 所以说检测功能的准确性也并非百分百. 2.有关检测识别错误问题(指MX25L6445识别为MX25L6405之类), 例如MX25L6405和MX25L6406,MX25L6445, 三个型号的芯片设备号都是相同的, 所以就导至误识别. 3.
  3. 所属分类:其它程序

  1. SAT

    0下载:
  2. 1.The content is too simple 2.Is not a sourcecode or document 3.lost some files 4.Descr iption is not detailed or not correct 5.Compressed file has password 6.Sourcecode duplicate or already exist
  3. 所属分类:其它资源

  1. 魔力WPE 封包大全

    13下载:
  2. 封包大全\法蓝城全城飞\法兰城地图.yoyo 逆袭的牛鬼\逆牛 索齐亚岛上任意地方点.spt 诅咒的迷宫\31-40.spt ..41-49.spt ..51-59.spt ..双王.spt ..双王1-10层.spt ..双王11-20层.spt ..双王21-30.spt 神兽\2转.spt ....\神兽神殿门口.spt 时空之门主线剧情\AKS地图.spt BL地图.spt UD完整2人版.spt 男生蜡烛挑UD翅膀修改版.spt 阿村直接飞一代.spt 用
  3. 所属分类:外挂

  1. gunpacker0.5

    1下载:
  2. 万能脱壳机 ACProtect 1.09、1.32、1.41、2.0 AHPack 0.1 ASPack 102b、105b、1061、107b、1082、1083、1084、2000、2001、21、211c、211d、211r、212、212b212r ASProtect 1.1,1.2,1.23RC1,1.33,1.35,1.40,SKE.2.11,SKE.2.1,SKE.2.2,2.3.04.26,2.4.09.11 Alloy 4.1、4.3 alexprot 1.0b2
  3. 所属分类:其它程序

    • 发布日期:2017-10-28
    • 文件大小:1812349
    • 提供者:cqhaotang
  1. 原装希格玛SGL8023W SOP8贴片式 LED单通道直流触摸芯片

    0下载:
  2. 产品型号:SGL8023W 产品品牌:SGL/希格玛 封装形式:DIP8 SOP8 产品年份:新年份 希格玛大陆总代理,原装现货具有优势!工程服务,技术支持,让您的生产高枕无忧。 单通道直流 LED 灯光亮度调节及开关控制的单通道触摸芯片 概 述 ● SGL8023W 是一款用于LED 灯光亮度调节及开关控制的单通道触摸芯片。使用该芯片可以实现 LED 灯光的触摸开关控制和亮度调节。具有如下功能特点和优势: 灯光亮度可根据需要随意调节,选择范围宽,操作简单方便。可在有介质(如玻璃
  3. 所属分类:程序开发库

    • 发布日期:2018-12-05
    • 文件大小:287232
    • 提供者:xiaoyang666
  1. 《上古卷轴5》角色中文名称修改器

    0下载:
  2. 实测可用,中文字不能超过四个汉字。 《上古卷轴5:天际》角色中文名称修改器 注:此修改器适用于5-11号升级档。 使用说明: 1.运行游戏并读取存档 2.点击读取名字(至少读取一次) 3.输入想要改的名字(建议4个中文/12个英文字母以下) 4.点击写入名字
  3. 所属分类:其它程序

  1. HX511DA-4公交专用密码键盘4芯航空接头单片机容量

    0下载:
  2. 公交车载数字小键盘 公交系统专用密码键盘HX511DA-4是一款采用GX16标准4芯航空接头、RS232串口通讯,配置4米线长的车载数字小键盘,提供指令集调用、支持用户级的二次开发与应用,支持产品定制,广泛应用于公交、巴士车辆分段计费使用。 外观描述:具有高安全性的专业遮挡外围。 按键材料:优质工程橡胶 键面字符:水晶按键 外壳材料:优质工程塑料 输入键盘:10个数字键,4个功能键 通信协议:标准RS-232 8位数据位,1位停止位,无校验位 (标准RS2
  3. 所属分类:程序开发库

    • 发布日期:2019-07-12
    • 文件大小:7032121
    • 提供者:rfid128
  1. 冒险岛GM管理助手1.4.5

    3下载:
  2. 冒险岛GM管理助手1.4.5,很好用,无毒。冒险岛GM管理助手1.4.5,很好用,无毒。
  3. 所属分类:游戏

« 12 »
搜珍网 www.dssz.com