CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 位右移

搜索资源列表

  1. vb位操作函数

    0下载:
  2. 在vb中对字节变量进行位操作的函数。包括左移、右移、置位、复位、位判断操作。-in vb to byte variable-bit operations functions. Including the left, the death, at home, reset, judgment-operation.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1590
    • 提供者:胡金辉
  1. firfilter

    0下载:
  2. 实现一个FIR滤波器,基于直接型型算法 输入数据宽度:8位 输出数据宽度:16位 阶数:16阶 滤波器经转换后(右移16位)的特征参数为: h[0]=h[15]=0000 h[1]=h[14]=0065 h[2]=h[13]=018F h[3]=h[12]=035A h[4]=h[11]=0579 h[5]=h[10]=078E h[6]=h[9]=0935 h[7]=h[8]=0A1F
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-18
    • 文件大小:1641636
    • 提供者:Eric
  1. firfilter_da

    0下载:
  2. 分布式算法在实现乘加功能时,是通过将各输入数据的每一对应位产生的部分积预先进行相加形成相应的部分积,然后再对各个部分积累加形成最终结果的,而传统算法是等到所有乘积已经产生之后再来相加完成乘加运算的。与传统串行算法相比,分布式算法可极大地减少硬件电路的规模,提高电路的执行速度。 实现一个FIR滤波器,基于分布式算法 输入数据宽度:8位 输出数据宽度:16位 阶数:16阶 滤波器经转换后(右移16位)的特征参数为: h[0]=h[15]=0000 h[1]=h[14]=
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-18
    • 文件大小:2044514
    • 提供者:Eric
  1. txunit1

    0下载:
  2. UART发送TX控制电路设计,以波特率产生器的EnableTX将数据DATAO以LOAD信号将其送入发送缓冲器Tbuff,并令寄存器内容已载有数据而非空出的标志tmpTBufE=0。当同步波特率信号来临时监视是否处于tmpTBufE=0(内有数据)以及tmpTRegE=1(没有数据)。即处于尚未启动发送态则将Tbuff缓冲寄存器 送入传输寄存器Treg内并令tmpTRegE=0(内又送入数据),但因Tbuff已转送入缓冲寄存器TregE内,为空故令tmpTBufE=1,此tmpTBufE代表缓冲
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1651
    • 提供者:袁迎迎
  1. CPU

    3下载:
  2. 使用verilog作为CPU设计语言实现单数据通路五级流水线的CPU。具有32个通用寄存器、一个程序计数器PC、一个标志寄存器FLAG,一个堆栈寄存器STACK。存储器寻址粒度为字节。数据存储以32位字对准。采用32位定长指令格式,采用Load/Store结构,ALU指令采用三地址格式。支持有符号和无符号整数加、减、乘、除运算,并支持浮点数加、减、乘、除四种运算,支持与、或、异或、非4种逻辑运算,支持逻辑左移、逻辑右移、算术右移、循环右移4种移位运算,支持Load/Store操作,支持地址/立即
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:43474
    • 提供者:haotianr
  1. 88

    0下载:
  2. 【程序54】 题目:取一个整数a从右端开始的4~7位。 程序分析:可以这样考虑: (1)先使a右移4位。 (2)设置一个低4位全为1,其余全为0的数。可用~(~0<<4) (3)将上面二者进行&运算。
  3. 所属分类:C#编程

    • 发布日期:2008-10-13
    • 文件大小:3834
    • 提供者:雨哲
  1. 给初学单片机的40个实验

    0下载:
  2. 1. 闪烁灯 2. 模拟开关灯 3. 多路开关状态指示 4. 广告灯的左移右移 6. 报警产生器 10. 00-99计数器 11. 00-59秒计时器(利用软件延时) 4×4键盘及8位数码管显示构成的电子密码锁 DS18B20数字温度计使用
  3. 所属分类:文档资料

  1. 在六个数码管滚动显示自己的学号(六位)

    0下载:
  2. 在六个数码管滚动显示自己的学号(六位),每隔一定时间循环移位一次,学号为奇数则左移,学号为偶数则右移。间隔时间可由开关选择1秒,2秒,3秒和4秒。-In the six LED scrolling display their student number (six), rotate once every certain period of time, learning number is odd, then the left, student number is even, then the r
  3. 所属分类:VHDL编程

    • 发布日期:2016-01-25
    • 文件大小:373760
    • 提供者:
  1. multi8x8

    0下载:
  2. 该源码为8位乘法器的VHDL语言描述,由一个8位右移寄存器,2个4位加法器例化成8位加法器,一个16位数据锁存器构成。采用移位相加的方式,从被乘数的低位开始,与乘数的每个位移位相加求和。最后实现其乘法器功能。-The source code for the 8-bit multiplier in VHDL language to describe, from an 8-bit right shift register, two 4-bit adder example into 8-bit add
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:393061
    • 提供者:feng
  1. ALU1

    0下载:
  2. ALU 指令格式(16位) op DR SR fun 0--3 4—7 8--11 12--15           指令类 OP码 指令 FUN 功能描述 控制 0000  NOP 0000 空指令 HLT 0001 停机 有条件跳转 0010  JZ 0000 Z=1,跳转 JC 0001 C=1,跳转 JNC 0010 C=0,跳转 JNZ 0100 Z=0,跳转 Jump 0101 无条件跳转 LOAD 001
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:1078
    • 提供者:翟志强
  1. R_double

    0下载:
  2. 实现汇编语言教程的双位右移和左移 及其代码的使用-Assembly language tutorial to achieve the double-shifted to right and the left and the use of code
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-08
    • 文件大小:575
    • 提供者:沈文杰
  1. lesson8

    0下载:
  2. 左移时最低位补0,最高位移入PSW的CY位 右移时最高位保持原数,最低位移除 -Lowest when the left complement 0 to a maximum displacement of the CY-bit right into the PSW when the highest bit to keep the original number, remove the lowest
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-07
    • 文件大小:516
    • 提供者:ming
  1. shift4

    0下载:
  2. 移位寄存器中的数据可以在移位脉冲作用下一次逐位右移或左移,数据既可以并行输入、并行输出,也可以串行输入、串行输出,还可以并行输入、串行输出,串行输入、并行输出,十分灵活,用途也很广。 -The data in the shift register in shift pulses can move or by bit right next moves left, data can be parallel input, parallel output, also can serial input,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:192196
    • 提供者:张凯
  1. HYLED

    0下载:
  2. 采用8位右移寄存器74ls164实现8个彩灯的向右移动,从它的右移输入端输入四种码,来实现它的四种花样,根据四种花样确定四种码,可通过模16计数器74ls161的输出端接与门74ls08和非门74ls04产生。-8-bit right shift register 74ls164 move to the right of the eight lanterns, it s shifted to the right input of the input four kinds of code, to
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:1003
    • 提供者:mary
  1. CALC.rar

    0下载:
  2. 数学表达式计算命令,By Lxl1638 使用方法: Calculator.EXE {代数表达式}#小数位数(默认6位最多16位) 支持的运算符号: 加"+"、减"-"、乘"*"、除"/"、幂"^"、或"|"、与"&"和括号解释 支持的数学常数: e 表示欧拉常数, pi 表示圆周率π 支持的数学函数: 共计32个
  3. 所属分类:数值算法/人工智能

    • 发布日期:2013-02-20
    • 文件大小:8609
    • 提供者:lxl1638
  1. 11

    0下载:
  2. 广告流水灯程序 ORG 00H MOV P2,#80H START:MOV A,#0FFH 赋初值 CLR C MOV R2,#8 LOOP:RRC A 带进位右移 MOV P1,A CALL DELAY DJNZ R2,LOOP MOV R2,#7 LOOP1:RLC A 带进位左移 MOV P1,A CALL DELAY DJNZ R2,LOOP1 JMP START DELAY:MOV R3,#20
  3. 所属分类:assembly language

    • 发布日期:
    • 文件大小:1925
    • 提供者:yoauni
  1. EDA

    0下载:
  2. 1.八进制计数器 2.八位右移寄存器 3.八位右移寄存器(并行输入串行输出) 4.半加 5.半加器 6.半减器 7.两数比较器 8.三数比较器 9.D触发器 10.T触发器 11.JK1触发器 12.JK触发器 13.三位全加器 14.SR触发器 15.T1触发器 16.三太门 17.有D触发器构成的6位2进制计数器 18.带同步置数的7进制减法计数器(6位右移寄存器) 19.二十四进制双向计数器 20.二选一 21
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-21
    • 文件大小:4143
    • 提供者:wanghao
  1. multiplication

    0下载:
  2. 用C语言实现两位小于1的二进制小数的原码一位乘法。 1. 首先设置两个真值的输入形式为字符串,这样便可以输入正负号和小数点。 2. 程序将两个字符串中的0和1提出存入整形数组 3. 分别提前编写好两个整形数组的相关函数。例如:数组输出函数、全加器函数、右移函数等等。 4. 按照流程图,定义B,C,A数组,长度均为N,N值在开头用define定义。长度N要尽可能设置大一些。 5. 按照流程图的结构,设置循环,判断等结构。最终计算出最后结果真值。(Using C language to a
  3. 所属分类:Windows编程

    • 发布日期:2017-12-31
    • 文件大小:1127424
    • 提供者:Frank峰
  1. 9-8位LED右移

    0下载:
  2. 9-8位LED右移()
  3. 所属分类:其他

    • 发布日期:2017-12-31
    • 文件大小:7168
    • 提供者:7tb97xvv
  1. 数组A中的元素A[0]至A[n-1]循环右移k位

    0下载:
  2. 设计算法,将存有n(n>0)个数的数组A中的元素A[0]至A[n-1]循环右移k(k>0)位,要求只允许使用一个元素大小的附加存储,元素移动或交换次数为O(n)。
  3. 所属分类:C#编程

« 12 3 4 5 6 »
搜珍网 www.dssz.com