CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 循环计数

搜索资源列表

  1. josephus.1

    0下载:
  2. 描述:设编号为1,2,…,n(n>0)个人按顺时针方向围坐一圈,每人持有一个正整数密码。开始时任意给出一个报数上限值m,从第一个人开始顺时针方向自1起顺序报数,报到m时停止报数,抱m的人出列,从他在顺时针方向上的下一个人起重新自1起顺序报数;如此下去,直到所有人全部出列为止。要求设计一个程序模拟此过程,并给出出列人的编号序列。 .算法思想: Jeseph函数是实现问题要求的主要函数,其算法思想是:从1至m对带头结点的单循环链表循环计数,到m时,输出该结点的编号值,,再从
  3. 所属分类:C#编程

    • 发布日期:2008-10-13
    • 文件大小:21028
    • 提供者:王俊
  1. TCNTL

    0下载:
  2. 用ISE开发的VHDL随机地址发生器,采用循环计数生成地址-using VHDL development of the ISE random address generator, cycle counting generated addresses
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:635323
    • 提供者:张稀楠
  1. clk_scan

    0下载:
  2. 采用Quartus2编写的数码管扫描显示电路 共有三个电路 电路1:当按下启动计时按钮时,实验箱上的8个数码管数码1~8以4Hz的频率,从0到9反复不停计数,8个数码管同一时刻显示同一个数字。当按下异步清零按钮时,则8个数码管均显示为0。 电路2:当按下启动计时按钮时,8个数码管1~8以4Hz的频率完成从0到9的跳跃循环计数,即每一时刻只有一个数码管点亮。即:数码管1计数0后,数码管2计数1,以此类推,数码管8计数7后,数码管1再计数8……。当按下异步清零按钮时,则数码管1点亮,显示
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1248610
    • 提供者:gz208
  1. 消息循环重载实例

    0下载:
  2. 本程序在主线程的While循环中进行计数工作,在计数过程中,利用二次消息循环,自己来处理Windows消息的取出和发送,从而能够相应界面操作-the procedures in the main thread While cycle counts, the counting process, and use the second news cycle, to handle its own Windows news and sent out, thus corresponding to inter
  3. 所属分类:系统编程

    • 发布日期:2008-10-13
    • 文件大小:26538
    • 提供者:周孝良
  1. pld MegaWizard Plug-In Manager

    1下载:
  2. 利用QuartusII的"MegaWizard Plug-In Manager", 设计输入数据宽度是4bit的ADD、SUB、MULT、DIVIDE、COMPARE 把它们作为一个project,DEVICE选用EPF10K70RC240-4,对它们进行 时序仿真,将仿真波形(输入输出选用group)在一页纸上打印出来。 2.利用QuartusII的"MegaWizard Plug-In Manager"中的LPM_
  3. 所属分类:软件工程

    • 发布日期:2016-01-24
    • 文件大小:32214
    • 提供者:李侠
  1. LEDxianshi

    0下载:
  2. 实现数码管的循环计数,数码管接法为共阴级接法。-To achieve the cycle count of the digital control, digital control connection for a total of Yin-class connection.
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:2615
    • 提供者:周利均
  1. lesson5_3

    0下载:
  2. 数码管的动态显示和循环计数(51单片机)-Digital control of dynamic display and cycle count (51 SCM)
  3. 所属分类:source in ebook

    • 发布日期:2017-03-29
    • 文件大小:612
    • 提供者:贿赂
  1. counter

    0下载:
  2. 系统循环计数,按键控制 KEY1 停止 KEY2 再动 KEY3 停止 KEY4 清零-System cycle count control KEY1 button again to stop moving KEY3 stop KEY2 Clear KEY4
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:986
    • 提供者:cjg
  1. 4segasm

    0下载:
  2. 4位数码管计数器程序(汇编)循环计数:单片机应用-Counter LED 4 program (compilation)
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:9185
    • 提供者:yuanxuegui
  1. lcd1602time

    0下载:
  2. lcd1602时间显示(C语言例程)循环计数-lcd1602 time display (C language routines)
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:13473
    • 提供者:yuanxuegui
  1. lianbiao

    0下载:
  2. 循环链表操作,包括循环链表的建立、节点插入、删除、计数、逆置、显示等-List operating cycle, including the establishment of circulation list, node insert, delete, count, against the home, show, etc.
  3. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:2392
    • 提供者:
  1. edacounter

    0下载:
  2. 用VHDL语言编写的计数器,在板子上运行成功,可以循环计数,加减计数,先置数后计数等-Counter with the VHDL language, in the board to run successfully, you can cycle counting, addition and subtraction counting, numbers, counting the first home
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1068847
    • 提供者:fana
  1. cal

    0下载:
  2. 设计一个十进制计数器,由0到9进行循环计数,同时将计数结果通过数码管显示出来-Design of a decimal counter, from 0 to 9 for cycle counting, while counting resulted in the adoption of digital tube display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:606
    • 提供者:杨毅刚
  1. 7seg

    0下载:
  2. 4位数码管计数器经典例程,可从0000~9999循环计数-4-bit digital tube counter the classic routines from the 0000 ~ 9999 cycle counting
  3. 所属分类:assembly language

    • 发布日期:2017-04-11
    • 文件大小:1081
    • 提供者:xin
  1. clock1

    0下载:
  2. 24进制计数器,可循环计数,用于24小时计数!-24 binary counter, counting can be recycled for 24 hours counting!
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:559071
    • 提供者:aa
  1. Greatlist

    0下载:
  2. 声明并赋初始值。j,k作为循环计数变量仅声明不赋初始值。 第一个循环j从1到4,控制打印行数; 内部循环从0到2*j-1(对应j分别取值1,3,5,7),这个循环控制打印字符的个数;printf(" c",i) 这一句把i变量按相应的ASCII码对应的字符以字符形式打印出来;-Statement and to give the initial value. j, k as the loop counter not only to declare the initial value ass
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-11
    • 文件大小:630
    • 提供者:Gigi
  1. counter_99

    0下载:
  2. Verilog实现的倒计数器,从99到1再循环,编译成功,可以直接运行,是很好的verilog语言的例子-Verilog implementation of the down counter, from 99-1 recycling, compiled successfully, you can directly run, is a good example of verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:531127
    • 提供者:李丽丽
  1. newproject

    0下载:
  2. 用来产生循环计数的程序,同时在8位发光二极管上显示相应的计数值。-The procedures used to generate cycle count, while the eight light-emitting diode display the corresponding count.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:388860
    • 提供者:da
  1. 232

    0下载:
  2. 这段程序是三级上机试题的节选,主要引用循环计数来实现-That is used for sum
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-14
    • 文件大小:4558
    • 提供者:廖辉
  1. 程序

    1下载:
  2. 通过IIC总线协议实现TM1637数码管模块0-100循环计数功能,并设置从0开始 每加数20次,蜂鸣器响1s钟。(The function of 0-100 cycle counting of TM1637 digital tube module is realized by IIC bus protocol, and the buzzer rings 1 s every 20 additions starting from 0.)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2020-05-21
    • 文件大小:11264
    • 提供者:zhouyuancode
« 12 3 4 5 6 7 8 »
搜珍网 www.dssz.com