CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 10010

搜索资源列表

  1. javajiamijiemi.rar

    0下载:
  2. 所属分类:加密解密

    • 发布日期:
    • 文件大小:2896
    • 提供者:
  1. 10010.XDva009

    0下载:
  2. X-Trap Driver X-Trap Driver
  3. 所属分类:驱动编程

    • 发布日期:2008-10-13
    • 文件大小:6338
    • 提供者:蓝帝
  1. 13-310010_FSM

    0下载:
  2. 10010序列检测,用状态机来实现,非常方便-10010 Sequence Detection using the state machine to achieve very convenient
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:2755
    • 提供者:孙海定
  1. 10010

    0下载:
  2. 卷积码及维特比译码 程序实现卷积编码和维特比译码算法
  3. 所属分类:文件操作

    • 发布日期:2014-01-17
    • 文件大小:3823
    • 提供者:刘海
  1. peak

    0下载:
  2. 功能是检测一个5位二进制序列“10010”。考虑到序列重叠的可能,有限状态机共提供8个状态(包括初始状态IDLE)。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1062
    • 提供者:peak
  1. 注册与卸载OCX.rar

    0下载:
  2. 注册与卸载OCX,-Register and uninstall OCX.
  3. 所属分类:按钮控件

    • 发布日期:2017-11-09
    • 文件大小:28681
    • 提供者:马康
  1. 10010

    0下载:
  2. Verilog状态机设计-10010序列检测器-Verilog state machine design-10010 Sequence Detector
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:823
    • 提供者:txj
  1. seqdet

    0下载:
  2. 用verilog鉴定10010序列,用verilog鉴定10010序列-10010 sequence identification using Verilog with Verilog identification sequence 10010
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1034697
    • 提供者:翁建伟
  1. 10010

    0下载:
  2. Where s Waldorf? Given a m by n grid of letters, ( 1<=m, n<=50), and a list of words, find the location in the grid at which the word can be found. A word matches a straight, uninterrupted line of letters in the grid. A word can match
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-17
    • 文件大小:116874
    • 提供者:mini
  1. 10010

    0下载:
  2. verilog实现序列10010检测-verilog to achieve detection of sequence 10010
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:532
    • 提供者:lzndcb
  1. state_m

    0下载:
  2. 序列检测的代码,检测10010时,为高电平,其余为低电平-Sequence detection code 10010 when testing for the high, and the remaining low. .
  3. 所属分类:Document

    • 发布日期:2017-04-02
    • 文件大小:94794
    • 提供者:郑丹
  1. dianhua-mt8870

    0下载:
  2. 最近刚成功开发一款电话远程控制器,当听到电话里面自己录制的音频时非常高兴,觉得10010、10086那些也只是小儿科,呵呵,特把资料及自己写的代码同大家一起分享,-Recently succeeded in developing a telephone remote controller, when the hear the phone when inside their own audio recordings are very pleased to think that those are
  3. 所属分类:SCM

    • 发布日期:2017-04-09
    • 文件大小:1488529
    • 提供者:xianglei
  1. 10010

    0下载:
  2. 在Visual Basic 编写的程序中控制鼠标-In a program written in Visual Basic to control the mouse
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-13
    • 文件大小:2834
    • 提供者:fjaklsdj
  1. detect_signal

    0下载:
  2. 此程序完成一个序列检测的功能,检测10010序列,适当改进,可以用于FPGA中信号检测-This process is complete a sequence of test functions, test 10010 sequence, appropriate improvements can be used for FPGA in the signal detection
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:220412
    • 提供者:luosheng
  1. Tutorial_5

    0下载:
  2. 一个序列检测器的FPGA设计实验,通过LED灯显示,基于Spartan-3e开发板-The sequence detector will look for the input series “10010.” LED’s will show how much of the series has been detected and when the entire series has been entered an additional LED will come on. Circuit input
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1221235
    • 提供者:飞飞三号
  1. zhuantaiji

    0下载:
  2. 简单的状态机设计,功能是检测一个5位二进制序列“10010”。考虑到序列重叠的可能,有限状态机共提供8个状态(包括初始状态IDLE)。-Simple state machine design, function is to detect a 5-bit binary sequence " 10010." Taking into account the possibility of overlapping sequences, finite state machines prov
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:538
    • 提供者:cherry
  1. WheresWaldorf

    0下载:
  2. Solution to UVA Online Judge problem ID=10010 (Wheres Waldorf). Algorithm is programmed in Java and got Accepted.
  3. 所属分类:Other systems

    • 发布日期:2017-03-26
    • 文件大小:1389
    • 提供者:MaRaToNaC
  1. seqdet_vm

    0下载:
  2. 在verilog下连续输入1和0,当输入为10010时输出为1,是初学者练习用的-In verilog continuous input 1 and 0, when the input is 10010 to 1 when the output is used for beginners to practice
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:658
    • 提供者:澄续缘
  1. UVA-10010---Wheres-Waldorf

    0下载:
  2. UVA 10010 - Wheres Waldorf-UVA 10010- Wheres Waldorf
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-12
    • 文件大小:961
    • 提供者:王林
  1. test-series-10010

    0下载:
  2. 用于检测序列10010的程序,Verilog的状态机练习-Used to test series 10010 program, Verilog state machine practice
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:381477
    • 提供者:王佳
« 12 »
搜珍网 www.dssz.com