CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 1HZ时钟

搜索资源列表

  1. telephone_toll_collector_code

    0下载:
  2. 电话计费器程序/*信号定义: clk: 时钟信号,本例中其频率值为1Hz; decide: 电话局反馈回来的信号,代表话务种类,“01”表示市话,“10”表示 长话,“11”表示特话; dispmoney: 用来显示卡内余额,其单位为角,这里假定能显示的最大数额为50 元 (500 角); disptime: 显示本次通话的时长; write,read: 当write 信号下降沿到来时写卡,当话卡插入,read 信号变高时读卡; warn: 余额过少时的告警信号。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1491
    • 提供者:slam
  1. Freq_counter

    0下载:
  2. 本代码介绍了使用VHDL开发FPGA的一般流程,最终采用了一种基于FPGA的数字频率的实现方法。该设计采用硬件描述语言VHDL,在软件开发平台ISE上完成,可以在较高速时钟频率(100MHz)下正常工作。该设计的频率计能准确的测量频率在1Hz到100MHz之间的信号。使用ModelSim仿真软件对VHDL程序做了仿真,并完成了综合布局布线,最终下载到芯片Spartan-II上取得良好测试效果。-the code on the FPGA using VHDL development of the
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:514889
    • 提供者:许的开
  1. ad9833

    0下载:
  2. AD9833资料 AD9833是ADI公司生产的一款低功耗,可编程波形发生器,能够产生正弦波、三角波、方波输出。波形发生器广泛应用于各种测量、激励和时域响应领域,AD9833无需外接元件,输出频率和相位都可通过软件编程,易于调节,频率寄存器是28位的,主频时钟为25MHz时,精度为0.1Hz,主频时钟为1MHz时,精度可以达到0.004Hz。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:191891
    • 提供者:yimeng
  1. ledcontrol

    0下载:
  2. 该程序为用vhdl语言编写的彩灯控制程序! 通过状态机实现三个彩灯的状态装换,红灯亮2秒,绿灯亮3秒,黄灯亮1秒! 时钟频率为1HZ! 通过该程序也可以改成交通灯的情况
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:887
    • 提供者:吴明星
  1. pinlvji

    0下载:
  2. 简单的数字频率计,source为输入,可以测量其频率,在maxplux中使用,需要标准的1hz时钟信号。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5983
    • 提供者:xzy
  1. 基于FPGA的直接数字合成器设计

    0下载:
  2. 1、 利用FLEX10的片内RAM资源,根据DDS原理,设计产生正弦信号的各功能模块和顶层原理图; 2、 利用实验板上的TLC7259转换器,将1中得到的正弦信号,通过D/A转换,通过ME5534滤波后在示波器上观察; 3、 输出波形要求: 在输入时钟频率为16KHz时,输出正弦波分辨率达到1Hz; 在输入时钟频率为4MHz时,输出正弦波分辨率达到256Hz; 4、 通过RS232C通信,实现FPGA和PC机之间串行通信,从而实现用PC机改变频率控制字,实现对输出正弦波频率的控制。-a use
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:22183
    • 提供者:竺玲玲
  1. 50M

    0下载:
  2. verilog 语言写的分频模块,实现用50Mhz的时钟频率分出1hz的频率,也就是一秒的频率-verilog language sub-frequency module, using the 50Mhz clock frequency 1hz separation, that is, the frequency of second
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1218
    • 提供者:lvlv
  1. countdown

    0下载:
  2. 基于VHDL语言的倒计时模块程序,1Hz时钟-Based on the VHDL language countdown module procedures, 1Hz clock
  3. 所属分类:Other systems

    • 发布日期:2017-04-14
    • 文件大小:3915
    • 提供者:lynn hu
  1. clock

    0下载:
  2. 该代码用verilog语言编写,实现24小时时钟计时,时、分、秒,输入为1HZ时钟-The code using verilog language to achieve a 24-hour clock time, hours, minutes, seconds, the clock input 1HZ
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1176
    • 提供者:杜海彬
  1. Digitalclock

    1下载:
  2. 数字时钟 设计一个能显示日期、小时、分钟、秒的数字电子钟,并具有整点报时的功能。 由晶振电路产生1HZ标准的信号。分、秒为六十进制计数器,时为二十四进制计数器。 可手动校正时、分时间和日期值。 -Digital Clock
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:18617
    • 提供者:xwj
  1. fenpin

    0下载:
  2. 从50MHz的内部时钟通过此程序分频得到1Hz时钟,改变参数还可以有其他的频率- frequency division
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:113077
    • 提供者:guojing
  1. traffic

    0下载:
  2. 一个简单的交通灯控制器,交通灯显示用实验箱的交通灯模块来显示。系统时钟选择时钟模块的1Hz时钟,黄灯闪烁时钟为1Hz,红灯15s,黄灯5s,绿灯15s。-A simple traffic light controller, traffic lights display module test box to display the traffic lights. System clock selection 1Hz clock module clock, flashing yellow clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1513796
    • 提供者:李建国
  1. Example22

    0下载:
  2. 设计了一款基于多功能数字时钟的小程序,产生1Hz时钟的分频计数器并正常运行-Based on a small program designed multifunction digital clock divider to generate 1Hz clock counter and running
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:285167
    • 提供者:卢进
  1. FRENQ

    0下载:
  2. 4位十进制频率计的设计,通过采用1Hz时钟对待测时钟进行频率测定-4 decimal frequency of the design, through the use of 1Hz clock to treat the measured clock frequency measurement
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:55796
    • 提供者:张琳
  1. VHDL-Multi-fuction-Clock

    0下载:
  2. 设计一个多功能数字钟,要求显示格式为小时-分钟-秒钟,整点报时,报时时间为10 秒,即从整点前10 秒钟开始进行报时提示,喇叭开始发声,直到过整点时,在整点前5 秒LED 开始闪烁,过整点后,停止闪烁。系统时钟选择时钟模块的10KHz,要得到1Hz 时钟信号,必须对系统时钟进行10,000次分频。调整时间的的按键用按键模块的S1 和S2,S1 调节小时,每按下一次,小时增加一个小时,S2 调整分钟,每按下一次,分钟增加一分钟。另外用S8 按键作为系统时钟复位,复位后全部显示00-00-00。-T
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7658196
    • 提供者:冯雨娴
  1. traffic_light

    1下载:
  2. 设计一个简单的交通灯控制器,交通灯显示用实验箱的交通灯模块来显示。系统时钟选择时钟模块的1Hz时钟,黄灯闪烁时钟要求为1Hz,红灯15s,黄灯5s,绿灯15s。系统中用CPU板上的复位按键进行复位。(Design a simple traffic light controller, traffic lights show the use of the experimental box traffic lights module to display. System clock select cl
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:504832
    • 提供者:qscf
  1. disp

    0下载:
  2. 可以计时,显示时间。这个程序使用10MHz的时钟信号转为1Hz和500Hz的信号作为输入,来驱动显示数码管时间的。(You can clock and display time.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-22
    • 文件大小:1024
    • 提供者:天快亮了
  1. clk1hz

    0下载:
  2. 工程上常用到的1Hz的时钟信号,采用VHDL语言来编写的(1Hz clock signals commonly used in engineering, the use of VHDL language to write)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-18
    • 文件大小:398336
    • 提供者:时光流转
  1. matlab数字时钟

    0下载:
  2. matlab数字时钟,设计一个能显示日期、小时、分钟、秒的数字电子钟,并具有整点报时的功能。 由晶振电路产生1HZ标准的信号。分、秒为六十进制计数器,时为二十四进制计数器。 可手动校正时、分时间和日期值。(Matlab digital clock, the design of a display date, hour, minute, second digital electronic clock, and with the whole point timekeeping function. T
  3. 所属分类:通讯编程

    • 发布日期:2017-12-27
    • 文件大小:1436672
    • 提供者:小蛋008
  1. test_28

    0下载:
  2. 系统时钟选择时钟模块的1Hz时钟,黄灯闪烁时钟要求为1Hz,红灯15s,黄灯5s,绿灯15s(The system clock selects the clock of the clock module of the 1Hz, the yellow light scintillation clock is required for 1Hz, the red light 15s, the yellow light 5S, the green light 15s)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:1043456
    • 提供者:xwg1234
« 12 3 4 »
搜珍网 www.dssz.com