CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 4位全加器

搜索资源列表

  1. 4bitadd

    0下载:
  2. 4位全加器原码,包括仿真码和4位计数器码。-four full adder original code, including the simulation code and four counter code.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3141
    • 提供者:尹以茳
  1. FullAdder_4

    0下载:
  2. 这是一个4位全加器,用一个1位半价做的一位全加,然后做成的四位半加。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:97889
    • 提供者:catalina
  1. f_adder

    0下载:
  2. 用VHDL语言采用串行方法实现用1位全加器实现4位全加器
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:196067
    • 提供者:chenli
  1. 4位全加器

    0下载:
  2. 基于matlab的4位全加器,能正常运行。
  3. 所属分类:源码下载

    • 发布日期:2010-10-07
    • 文件大小:4094
    • 提供者:chzhang_auts
  1. 4位全加器

    0下载:
  2. 基于matlab的4位全加器,已通过运行。
  3. 所属分类:源码下载

    • 发布日期:2010-10-07
    • 文件大小:4094
    • 提供者:chzhang_auts
  1. 4位全加器

    0下载:
  2. 4位全加器
  3. 所属分类:文档资料

  1. Quartus7.2

    0下载:
  2. 通过VHDL实现4位全加器,8位全加器,和8位通用寄存器的设计-4-bit full adder 8-bit full adder 8-bit register using vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:924861
    • 提供者:yepp_u2
  1. add

    0下载:
  2. 4位全加器设计,包含半加器构成全加器,由全加器构成4位全加器及其拓展-4bits
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:176988
    • 提供者:jathe
  1. Adder4

    0下载:
  2. 本设计是设计了一个4位全加器的内容,是由4个一位全加器串联而成的-The design is to design a full adder 4 content, is one of four full adder in series from the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:4563
    • 提供者:吕开华
  1. fulladder4

    0下载:
  2. VHDL图形文件实现的4位全加器,希望对大家有用!-VHDL graphics files to achieve four full adder, in the hope that useful!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:151498
    • 提供者:杨肖
  1. experiment1

    0下载:
  2. VHDL实验一,利用原理图输入法设计4位全加器-VHDL test 1, use of schematic input 4-bit full adder design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:495834
    • 提供者:童长威
  1. FPGA1

    0下载:
  2. 4位全加器 仿真波形一点问题都没有 我调试过-ADD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:186323
    • 提供者:gcc
  1. cadence_multi-threshold

    1下载:
  2. linux下(fedora版本)的cadence中编译4位全加器的实现, 在不同的阈值电压调解下观察点路的总体power和速度,以及逻辑的正确性. 可能会用到NCSU的FREEPDF工具包-this is a package of three projects, low-vth, high-vth, and optimum architecture vth four bit full adder design. In the environment of Cadence and then sim
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-05-16
    • 文件大小:4352566
    • 提供者:ququmo
  1. edashiyanbaogao_fzu

    0下载:
  2. 福州大学07级eda实验报告。。。一共八九份 包含实验指导书 实验一 利用原理图输入法设计4位全加器 一、实验目的: 掌握利用原理图输入法设计简单组合电路的方法,掌握MAX+plusII的层次化设计方法。通过一个4位全加器的设计,熟悉用EDA软件进行电路设计的详细流程。 -07 eda, Fuzhou University lab reports. . . A total of 89 experimental instructions were included experi
  3. 所属分类:Applications

    • 发布日期:2017-04-05
    • 文件大小:866597
    • 提供者:林明明
  1. 4weiquanjia

    0下载:
  2. 用VHDL写的4位全加器,5.1版本编写的-Use VHDL to write four full adder, 5.1 version of the written
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:133415
    • 提供者:廖星
  1. vhdlcoder

    1下载:
  2. 本文件夹包含了16个VHDL 编程实例,仅供读者编程时学习参考。 一、四位可预置75MHz -BCD码(加/减)计数显示器(ADD-SUB)。 二、指示灯循环显示器(LED-CIRCLE) 三、七人表决器vote7 四、格雷码变换器graytobin 五、1位BCD码加法器bcdadder 六、四位全加器adder4 七、英语字母显示电路 alpher 八、74LS160计数器74ls160 九、可变步长加减计数器 multicount 十、可
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:59211
    • 提供者:李磊
  1. adder4

    0下载:
  2. 此源代码是基于Verilog语言的4 位全加器,4 位计数器、 4 位全加器的仿真程序、4 位计数器的仿真程序是用EDA语言描述4 位全加器,有广泛的应用。-The Verilog language source code is based on the 4-bit full adder, 4 bit counter, 4-bit full adder simulation program, 4-bit counter of the simulation program is to use la
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:841
    • 提供者:王柔毅
  1. ADD6

    0下载:
  2. 此源代码是基于Verilog语言的多种方式实现的4 选 1 MUX、多种方式实现的4 选 2 MUX 、多种方式实现的1 位半加器 、多种方式实现的1 位全加器、种方式实现的 4 位全加器 、多种方式实现的输出 UDP 元件、两个时钟信号 、选择器 和各种仿真的源代码。-This source code is based on the Verilog language, multiple ways to achieve the 4 S 1 MUX, a variety of ways to ac
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3863
    • 提供者:王柔毅
  1. xor4b

    0下载:
  2. 实现四位全加器,为初学者提供参考说明,对VHDL语言有一定了解(it's a addler of four bits,which is designed for the new learner of VHDL language)
  3. 所属分类:其他

    • 发布日期:2017-12-22
    • 文件大小:91136
    • 提供者:秦秦秦
  1. 4位全加器 计数器等程序

    0下载:
  2. EDA仿真工具使用的,进行EDA开发的多个程序; 包括:4位全加器,12分频,128分频,篮球计数秒表(部分),计数器; 可以搭配EDA仿真软件使用,也可以搭配开发板使用;(EDA simulation tools used for EDA development of multiple programs; Including: 4 bit full adder, 12 frequency division, 128 frequency division, basketball cou
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-04
    • 文件大小:1024
    • 提供者:李云龙777
« 12 3 4 »
搜珍网 www.dssz.com