CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - modelsim 代码

搜索资源列表

  1. Quartus+II+++ModelSim+SE+++后仿真+++库文件.rar

    0下载:
  2. Mentor公司的ModelSim是业界最优秀的HDL语言仿真软件,它能提供友好的仿真环境,是业界唯一的单内核支持VHDL和Verilog混合仿真的仿真器。它采用直接优化的编译技术、Tcl/Tk技术、和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护IP核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段,是FPGA/ASIC设计的首选仿真软件。
  3. 所属分类:其它

    • 发布日期:2009-09-01
    • 文件大小:1009119
    • 提供者:t613@163.com
  1. SDRAM_CONTROLlER_Modelsim

    0下载:
  2. SDRAM控制器,Verilog代码编写,让你快速了解SDRAM的读写时序。包含Modelsim仿真工程和文档-SDRAM controller, Verilog coding, allows you to quickly understand the SDRAM read and write timing. Modelsim simulation engineering and contains study notes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2303247
    • 提供者:小单
  1. test_pll

    0下载:
  2. 使用modelsim se6.5d仿真altpll锁相环 完整工程,verilog代码,因为没找到选的是vhdl-simulation pll with modelsim se6.5d
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:2276350
    • 提供者:杨毅
  1. husw

    0下载:
  2. 用VHDL语言设计维特比 解码器 是VHDL原代码用ModelSim XE III 6.3c软件实现仿真-Language Design with VHDL Viterbi decoder is the VHDL source code with ModelSim XE III 6.3c software simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1016
    • 提供者:hsw0320
  1. XiaYuWen_8_RISC_CPU

    2下载:
  2. 夏宇闻8位RISC_CPU的完整代码+TESTBENCH(已调试) modelsim工程文件,包括书中所测试的三个程序和相关数据,绝对可用~所有信号名均遵从原书。在论坛中没有找到testbench的,只有一个mcu的代码,但很多和书中的是不一样的,自己改了下下~`````大家多多支持啊~`我觉得书中也还是有些不尽如人意的地方,如clk_gen.v中clk2,clk4是没有用的,assign clk1=~clk再用clk1的negedge clk1来触发各个module也是不太好的,会使时序恶
  3. 所属分类:source in ebook

    • 发布日期:2015-04-10
    • 文件大小:86714
    • 提供者:刘志伟
  1. QuartusIIandModelSim

    0下载:
  2. 本文主要描述了如何在QUARTUS II 中输入程序文件,生成网表及标准延时文件,然后通过 MODELSIM进行功能仿真与后仿真的过程,主要为图解,含全部代码及仿真波形。 -This article describes how to enter at QUARTUS II program file, generate netlists and standard delay file, and then through the ModelSim for functional simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:277929
    • 提供者:朱雯
  1. ModelsimSimulink

    0下载:
  2. Modelsim和Simulink协同仿真,很经典-Modelsim Simulink
  3. 所属分类:matlab

    • 发布日期:2017-04-03
    • 文件大小:187752
    • 提供者:jia
  1. VGA

    0下载:
  2. 1。源文件保存在src目录,QII的工程文件保存在Proj目录; 2。程序实现的功能是在VGA显示器上显示彩色条纹,共8种颜色, 可以使用嵌入式逻辑分析仪观测信号; 3。modelsim仿真文件在proj--simulation--modelsim中 4。具体设计参考代码。-1. The source file in src directory, QII project file saved in Proj directory 2. Program implementatio
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4168470
    • 提供者:jiehao
  1. ARM7

    0下载:
  2. 用verilog编写的ARM7内核代码,通过modelsim仿真-With verilog code written in ARM7 core, through the modelsim simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:61971
    • 提供者:guoxiaojin
  1. Work_with_Modelsim_SE_and_Quartus_II

    0下载:
  2. 仔细讲解了如何在Modelsim中建立Altera的仿真库(Verilog HDL),如何使用Modelsim建立工程以及代码调试中的注意事项。-Carefully explained how to create Altera simulation Modelsim library, how to use Modelsim to establish engineering and debugging the code in the note.
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:197679
    • 提供者:nostalgia
  1. microprocessor

    0下载:
  2. 一个微处理器的Verilog代码,根据英文书籍《数字设计与架构》中的例子而写,能够运行MIPS指令,能正确执行跳转指令。通过modelsim仿真,含测试代码。-Verilog code for a microprocessor, according to the English book " Digital Design and Architecture" was written in the example, to run MIPS instructions to jump
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:208679
    • 提供者:楚寒
  1. Modelsim

    0下载:
  2. 这是一个modelsim的中文使用教程,主要讲述的是写代码前的操作-This is the Chinese use a modelsim tutorial is mainly about the operation before writing code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:388465
    • 提供者:陈阳
  1. Modelsim--script-usage

    0下载:
  2. modelsim是Mentor graphics公司推出的HDL代码仿真工具,也是业界最流行的HDL仿真工具之一。支持图形界面操作和脚本操作。常见的图形界面操作相对直观,但是由于重复性操作几率高、处理效率低、工程的非保存性,对于大规模的代码仿真不推荐使用;脚本操作完全可以克服以上的缺点,把常见的命令,比如库文件和RTL加载、仿真、波形显示等命令编辑成.do脚本文件,只需要让Modelsim运行.do文件即可以完成仿真,智能化程度高。本文重点介绍Modelsim常见命令的使用,以及如何使用.do
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:374360
    • 提供者:liangyao
  1. ddsfinal1

    0下载:
  2. verilog语言实现的dds代码,并行通信,生成四种波形,大赛编写的代码,modelsim仿真-verilog language dds code,modelsim debug
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1138036
    • 提供者:杨天
  1. how-to-use-modelsim

    0下载:
  2. 明导公司的modelsim仿真软件使用教程,用于硬件描述语言所设计代码的仿真。-modelsim user manual
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1074594
    • 提供者:林坚
  1. modelsim se 10.1a crack

    0下载:
  2. Mentor公司的ModelSim是业界最优秀的HDL语言仿真软件,它能提供友好的仿真环境,是业界唯一的单内核支持VHDL和Verilog混合仿真的仿真器。它采用直接优化的编译技术、Tcl/Tk技术、和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护IP核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段,是FPGA/ASIC设计的首选仿真软件。(Mentor's ModelSim, the industry's best HDL language simulation
  3. 所属分类:VHDL/FPGA/Verilog

  1. 新建文本文档 (3)

    0下载:
  2. 在Verilog中使用函数,用always块实现较复杂的组合逻辑电路,阻塞赋值与非阻塞赋值的区别(Using a function in Verilog, a complex combinational logic circuit is realized with a always block, and the difference between blocking assignment and non blocking assignment)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-23
    • 文件大小:1024
    • 提供者:随风sf
  1. ModelSim电子系统分析及仿真

    1下载:
  2. 此文档详细说明了如何利用Modelsim软件对FPGA逻辑代码进行功能仿真和时序仿真的方法,并通过相关例子进行讲解说明(This document explains in detail how to use Modelsim software to perform functional simulation and time series simulation of FPGA logic code, and explain how to use some examples.)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-05-03
    • 文件大小:48652288
    • 提供者:ZSMCDUT
  1. AHB

    3下载:
  2. 基于amba总线协议中的ahb总线的从机模块代码,需要modelsim进行测试仿真(Based on the slave bus module code of AHB bus in AMBA bus protocol, Modelsim is needed to carry out test simulation.)
  3. 所属分类:其他

    • 发布日期:2019-11-14
    • 文件大小:37888
    • 提供者:越123
  1. SD SPI模式verilog外加modelsim仿真结果

    1下载:
  2. SD卡的SPI模式verilog代码,外加modelsim仿真结果。(SD card's SPI mode Verilog code, plus the simulation results of modelsim.)
  3. 所属分类:其他

    • 发布日期:2021-04-11
    • 文件大小:59392
    • 提供者:qwer.123
« 12 3 4 5 6 7 »
搜珍网 www.dssz.com