CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 键盘控制

搜索资源列表

  1. jhvhjhk

    0下载:
  2. 乒乓球游戏机实验报告实验人: 大火虎设计课题: 用VHDL设计一个乒乓球游戏机,用开关来摸拟球手及裁判,用LED来模拟乒乓球,采用每局十一球赛制,比分由七段显示器显示. 设计思路: 采用按功能分块,将整个电路分成若干子程序,利用不同的子程序来实现记分,显示,键盘控制。设计过程: 1) 对4MHZ信号进行分频,得到所需的1HZ,及七段显示器所需的频率.存为CLOCKMAKE.VHD(注:仿真时所加的信号频率比这要高。)。 2) 设计一个子程序来描述裁判,左击球手,右击球手的动作对LED显示的影响,
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:1120
    • 提供者:slw
  1. EXPT12_11_Ps2Key

    0下载:
  2. 基于fpga和sopc的用VHDL语言编写的EDA的PS/2鼠标键盘控制模块
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:34207
    • 提供者:多幅撒
  1. keyboardcontroller.tar

    0下载:
  2. 键盘控制电路IP核的VHDL语言源代码,需要的开发环境是QUARTUS II 6.0。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5264
    • 提供者:周华茂
  1. spartan3

    0下载:
  2. 基于xilinx公司的FPGA开发板spartan3的一个用键盘控制vga输出的vhdl源代码程序,可以实现高清晰的视频输出.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4397
    • 提供者:陈想
  1. 俄罗斯方块VHDL实现

    1下载:
  2. 该设计由下面模块组成:键盘输入模块,游戏控制模块,图像显示模块,文字显示模块,存储单元,复用单元和VGA 控制模块组成。其中图像显示模块和文字显示模块复用VGA 控制模块。游戏控制模块,图像显示模块和文字显示模块通过存储单元交换数据。
  3. 所属分类:VHDL编程

    • 发布日期:2011-05-17
    • 文件大小:3850145
    • 提供者:laolei112
  1. ADC_Fre_counter_LED_keyboard

    0下载:
  2. FPGA tlc0820采样控制 高精度测频 LED键盘显示 VHDl 调试与EP1C3-FPGA vhdl ADC LED keyboard frequency counter test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2595895
    • 提供者:Albert Sun
  1. jiyu-FPGA-dianziqin

    0下载:
  2. 1) 主芯片:Altera 的FLEX10K20TC144-4 STC89C58RD+。 2) 要求扩展键盘接口电路,可以实现电子琴的一般功能,进行乐曲的手动演奏,此外还应该具有存储功能,可以将演奏的乐曲进行存储并在人工控制下进行回放。 3) 完成系统方案设计。 4) 编制相应的VHDL程序并进行相应的仿真工作,完成系统的调试工作。 5) 编写51系统程序,完成初始化、系统控制等功能。 6) 利用51系统实现系统的在线配置。 7) 发挥部分 可以进行乐曲的自动演奏。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:68339
    • 提供者:任大志
  1. ps2

    0下载:
  2. 采用sopc技术,nios2ide开发环境,实现nios对ps2键盘的控制,按键讲ascii码显示在led上-Using sopc technology, nios2ide development environment to achieve nios right ps2 keyboard control, key speakers led the ascii code is displayed in
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:8153203
    • 提供者:蹇清平
  1. Project

    0下载:
  2. 基于SOPC实现的俄罗斯方块,用VGA来做显示,PS2键盘来控制-SOPC-based implementation of Tetris, to do with the VGA display, PS2 keyboard to control the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-30
    • 文件大小:13156736
    • 提供者:lingruinin
  1. wave_produce_VHDL

    0下载:
  2. --文件名:mine4.vhd。 --功能:实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 --A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 --各种波形的线形叠加输出。 --说明: SSS(前三位)和SW信号控制4种常见波形种哪种波形输出。4种波形的频率、 --幅度(基准幅度A)的调节均是通过up、down、set按键和4个BCD码置入器以及一 --个置入档位控制信号(ss)完成的(AMP的调节范围是0~5V,调节
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:10315
    • 提供者:huangsong
  1. daima

    0下载:
  2. 状态机控制AD转换模块 该模块主要实现对MAX197的控制:根据设计需要对芯片进行初始化(包括写控制字选择输入电压值范围、选择通道以及工作模式),并把通道数送指示灯显示以及用键盘控制通道号(按一下,通道号加1,同时点亮相应的指示灯,循环使用个通道);控制状态机的工作时序,并置两次采集到的数据为12位数据输出,并经过锁存进程来锁存数据,最后从锁存器中把输出数据-The state machine controls AD and changes the module this module ma
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:2771
    • 提供者:万俟斌
  1. MUSIC

    0下载:
  2. 16*16点阵循环显示8个汉字,有背景MIDI音乐输出,有一个键盘控制音乐的选择,还附带乐曲弹奏功能。有比较详细的注解。-16* 16 dot matrix display cycle of 8 Chinese characters, with background MIDI music output, there is a keyboard to control the choice of music, but also with music playing capabilities. Mor
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1504576
    • 提供者:叶华
  1. matrixkeyscan

    0下载:
  2. 4*4矩阵键盘的VHDL控制语句,我自己编写的,简单易懂,需要的朋友可以参考-4* 4 matrix keyboard VHDL control statements, I have written, easy to understand, you can reference to see if someone need
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:119767
    • 提供者:无真实
  1. Task5

    0下载:
  2. 实现单片机电子万年历的所有功能,包括键盘控制修改时间,闹钟,定时提醒,时间日期显示-MCU electronic calendar of all the features, including keyboard modification time, alarm clock, time reminder, time and date display
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-29
    • 文件大小:358625
    • 提供者:zhanglu
  1. keyboard

    0下载:
  2. 用VHDL硬件描述语音实现键盘控制操作,该代码在FPGA中经过了严格的运行调式-With VHDL keyboard control realization of hardware descr iption speech, the code in the FPGA through strict operation mode
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:505237
    • 提供者:jiajinying
  1. keyboard_control

    0下载:
  2. 用VHDL语言实现键盘控制,用于fpga实现键盘输入的应用-keyboard control vhdl program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:2565
    • 提供者:lailai
  1. 3128(vhdl)

    0下载:
  2. 里面均为用VHDL写的一些经典小程序,经过了验证均能很好的运行,一下为这些小程序的清单,希望能给大家能带来帮助: t1流水灯 t2 蜂鸣器实验 t3 拨码开关实验 t4 PWM控制LED亮度程序 t5 状态机实现流水灯 t6 静态数码管显示 t7 按键0-99计数程序 t8 红外实验 t9 0—99计数实验 t10 矩阵键盘显示 t11点阵 t12 PS2键盘识别 t13 ADC0804模拟量转化数字量实验 t14电子钟 t15 串口
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4148424
    • 提供者:熊文吉
  1. PS2-keyboard-controller-design

    0下载:
  2. PS2 keyboard controller design PS2 键盘控制设计-PS2 keyboard controller design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:871734
    • 提供者:liu
  1. keyboard

    0下载:
  2. PS2键盘控制数码管输入数字和点阵显示字母-PS2 keyboard control LED dot matrix display input numbers and letters
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2459
    • 提供者:王蕾
  1. VHDL-based-music-player-design

    0下载:
  2. 为本人2012年下学期的EDA大作业,含 设计文档 和 源代码。所设计的系统在网上很难找到(当时我就没找到,特别是源码),二本系统又具有一定的实用性,只要在ROM中存储不同的歌曲编码,即可播放不同的乐曲。 文章详细介绍了“具有自动乐曲演奏功能的电子琴”的FPGA设计原理与方法,使用了ROM存储音符和节拍,矩阵键盘控制整个系统。 源码注释清楚,容易理解。 欢迎访问我的博客:http://blog.csdn.net/enjoyyl-For the I semester of 20
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4927169
    • 提供者:刘志
« 12 3 »
搜珍网 www.dssz.com