CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程 VHDL编程

资源列表

« 1 2 ... 8 9 10 11 12 1314 15 16 17 18 ... 4322 »
  1. 国外的VHDL应用例子

    0下载:
  2. 国外的VHDL应用例子,大家可一好好参考一下!-abroad VHDL Application examples, we can make reference to a properly!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:232802
    • 提供者:gjd
  1. cpldleifei

    0下载:
  2. 微功率无线模块、小功率无线数传模块、远距离无线通信模块、数传电台、远距离无线通信基站以及无线通信收发器等系列产品,产品主要有无线数传模块、无线通信模块、无线通讯模块、无线收发模块、无线模块、无线射频模块等等。-micropower wireless modules, low-power wireless module, remote wireless communications modules, data-transmission stations, long-distance wireles
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1691
    • 提供者:雷飞
  1. 一些VHDL源代码

    0下载:
  2. 内有波形发生器,加法器,经典双进程状态机,伪随机熟产生器,相应加法器的测试向量,16×8bit RAM,FIFO,通用RAM等源程序-within waveform generator, Adder, classic dual-process state machine, cooked pseudo-random generator, the corresponding Adder test vector, 16 x 8bit RAM, FIFO, etc. source generic RAM
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:45110
    • 提供者:蔡孟颖
  1. 8位大小比较器

    1下载:
  2. 8位大小比较器的VHDL源代码,Magnitude Comparator VHDL descr iption of a 4-bit magnitude comparator with expansion inputs-eight compared with the size of the VHDL source code, Magnitude Comparator VHDL descr iption of a 4-bit magnitude comparator inputs with e
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1216
    • 提供者:蔡孟颖
  1. 三人表决器

    0下载:
  2. Three-input Majority Voter -- The entity declaration is followed by three alternative architectures which achieve the same functionality in different ways. -Three-input Majority Voter -- The entity declaration is followed by three alternative a
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3361
    • 提供者:蔡孟颖
  1. 一些译码器源代码

    0下载:
  2. 内有LED译码器,汉明纠错译码器,地址译码器,最高优先译码器,双2-4译码器等VHDL的源代码-decoder, Hamming error correction decoder, address decoder, the highest priority decoder, dual 2-4 decoder such as VHDL source code
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3903
    • 提供者:蔡孟颖
  1. 三种多路选择器的源代码

    0下载:
  2. 三种方法编写多路选择器的VHDL源代码 分别使用if else ,select ,when 语句-three methods to prepare multiple choice of VHDL source code were used if else, select, when words
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1736
    • 提供者:蔡孟颖
  1. buffer_display

    0下载:
  2. buffer_display是4X4KEYPAD的输出显示模块。可以显示6个连续的按键-buffer_display is 4X4KEYPAD output module. It showed six consecutive Press
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:165249
    • 提供者:分第三
  1. key_control

    0下载:
  2. 4X4电子密码锁的中央控制系统。控制6位输入。-4x4 electronic locks central control system. Six input control.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:142723
    • 提供者:分第三
  1. key_debouncer

    0下载:
  2. 4X4keypad的防抖动模块,用于假按键的检测-4X4keypad shake the module, the keys for false detection
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:125397
    • 提供者:分第三
  1. reg_comp

    0下载:
  2. 4X4 KEYPAD 的密码比较模块,可以核对6位的密码-4x4 KEYPAD password comparison module, can check the password 6
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:139346
    • 提供者:分第三
  1. key_counter

    1下载:
  2. 4X4 KEYPAD 的输入位数计数器,可以自己定义输入的位数-4x4 KEYPAD median counter input, input their own definition of the median
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:159825
    • 提供者:分第三
« 1 2 ... 8 9 10 11 12 1314 15 16 17 18 ... 4322 »
搜珍网 www.dssz.com