CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程 VHDL编程

资源列表

« 1 2 34 5 6 7 8 9 10 ... 4322 »
  1. yimaqi

    0下载:
  2. 基于FPGA环境开发,采用3-8译码电路原理。制作而成的译码器- FPGA-based development environment, using 3-8 decoder circuit schematic. Made of a decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:513
    • 提供者:曌黁
  1. link_act_decode

    0下载:
  2. Verilog语言下讲LINK/ACT信号转换为 LINK信号,即讲闪烁的信号转换为固定电平-Under the Verilog language speaking LINK/ACT LINK signal is converted to a signal, that signal is converted to speak flashing fixed level
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:513
    • 提供者:yezz
  1. Alu_Solution

    0下载:
  2. Solution for 16bit ALU component in vhdl.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:514
    • 提供者:andrewnick
  1. UCOS-II

    0下载:
  2. 基于FPGA的实验 UC\OS-II操作系统移植,使用SOPC软件及其配置方法,掌握其在NIOS II IDE 中的简单使用。-FPGA-based experimental UC \ OS-II operating system migration, the use of SOPC software and its configuration to grasp the NIOS II IDE in the simple use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:514
    • 提供者:贺欧
  1. Decoder

    0下载:
  2. This a basic code for the decoder based on verilog.-This is a basic code for the decoder based on verilog.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:514
    • 提供者:spectrojin
  1. FJYFP

    0下载:
  2. 用vhdl语言编写的分频程序,一个50分频,一个100分频,一个19200分频-Written by vhdl divide program, a 50-band, a 100 frequency, a frequency of 19200
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:514
    • 提供者:老郑
  1. HALF-ADDER-VHDL

    0下载:
  2. 用硬件描述语言编写的8位全加器代码,很实用通过对代码的编译和波形检测显示出此设计也是完全符合要求的,并且和设计的电路图一样,也达到相同的效果。-Using hardware descr iption language preparation 8 bits QuanJia implement code, is very practical through the code compiler and waveform test shows the design is fully meet the r
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:514
    • 提供者:王浩彬
  1. Random_counter

    0下载:
  2. Random_counter for fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:514
    • 提供者:ahmed
  1. src_gen

    1下载:
  2. 使用VHDL语言产生m序列,用于通信系统的随机信源-To generate m sequence with HHDL,whcih is used as random source in communication system
  3. 所属分类:VHDL编程

    • 发布日期:2013-04-08
    • 文件大小:514
    • 提供者:陈国庆
  1. lightgc

    0下载:
  2. verilog code for guide light
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-09
    • 文件大小:514
    • 提供者:rasoul
  1. counter

    0下载:
  2. Counter code in verilog for counting till 59.-Counter code in verilog for counting till 59.99
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:514
    • 提供者:Uzair
  1. 2ASKtiaoshi

    0下载:
  2. 2ASK verilog 解调程序,二进制移幅键控解调程序 -2ASK verilog progarm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:514
    • 提供者:谭伟鹏
« 1 2 34 5 6 7 8 9 10 ... 4322 »
搜珍网 www.dssz.com