CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程

资源列表

« 1 2 ... .04 .05 .06 .07 .08 32809.10 .11 .12 .13 .14 ... 33645 »
  1. 3L_SVPWM_PT714

    3下载:
  2. NPC三电平SVPWM逆变器的dsp程序,基于TI公司的28335系列DSP(NPC three level SVPWM inverter's DSP program, based on TI's 28335 series DSP)
  3. 所属分类:DSP编程

    • 发布日期:2018-01-11
    • 文件大小:745472
    • 提供者:hurui
  1. 5L_SVPWM_ANPC_CPLD

    0下载:
  2. 基于CPLD硬件描述语言编写的五电平SVPWM脉冲触发程序(Five level SVPWM pulse trigger program based on CPLD hardware descr iption language)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-11
    • 文件大小:334848
    • 提供者:hurui
  1. multi_booth

    0下载:
  2. booth乘法器,实现普通booth乘法算法(Booth multiplier to implement the common Booth multiplication algorithm)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-11
    • 文件大小:330752
    • 提供者:深蓝浅蓝eva
  1. 实验26 CAN收发实验

    1下载:
  2. can接口的示例代码,平台是STM32F103(can code,the cpu is ST STM32F103)
  3. 所属分类:单片机开发

    • 发布日期:2018-01-11
    • 文件大小:358400
    • 提供者:vincent0228
  1. 点亮RGB

    0下载:
  2. 使用SPI点亮RGB,点亮你所需的亮度和个数(Use SPI to light RGB and highlight the brightness and number you need)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2018-01-11
    • 文件大小:4537344
    • 提供者:新手一枚
  1. Target Language Compiler

    1下载:
  2. 关于MATLAB嵌入式代码生成底层驱动封装相关的说明文档(MATLAB embedded code to generate underlying driver encapsulation related documentation)
  3. 所属分类:单片机开发

    • 发布日期:2018-01-11
    • 文件大小:155648
    • 提供者:auto
  1. avrdude-doc-6.3.tar

    0下载:
  2. avrdude GCC patched for dean camera avr-mkii
  3. 所属分类:单片机开发

    • 发布日期:2018-01-11
    • 文件大小:38912
    • 提供者:vanbwodonk
  1. 20171001无刷电机完成正反向PID_SensorMotor

    0下载:
  2. 大部分的PID只有单方向转动闭环控制,增加双向PID闭环控制(Most of the PID only has a single directional rotation closed loop control, and a two-way PID closed loop control is added.)
  3. 所属分类:DSP编程

    • 发布日期:2018-01-11
    • 文件大小:429056
    • 提供者:jerryfu
  1. ADC_MPC5744P

    1下载:
  2. This is a ADC appaction code of NXP MPC5744P, and it run in the single task start up by the ADC register
  3. 所属分类:微处理器(ARM/PowerPC等)

  1. USBCamera

    0下载:
  2. 使用stm32 与 ov7670实现usb摄像头功能(Using STM32 and ov7670 to implement the USB camera function)
  3. 所属分类:嵌入式Linux

    • 发布日期:2018-01-11
    • 文件大小:1546240
    • 提供者:xiaobai6725
  1. E01-无线串口

    0下载:
  2. 无线模块资料,可以用于前期学习借鉴,IAR程序编写(Wireless module data can be used for previous study and reference)
  3. 所属分类:单片机开发

    • 发布日期:2018-01-11
    • 文件大小:1947648
    • 提供者:撒哈拉沙漠
  1. 2440test

    0下载:
  2. 2440测试程序,需要通过DWN下载到2440中,即可测试板子(2440 test programs that need to be downloaded through DWN to 2440 to test the board)
  3. 所属分类:微处理器开发

    • 发布日期:2018-01-11
    • 文件大小:3296256
    • 提供者:Mask–T
« 1 2 ... .04 .05 .06 .07 .08 32809.10 .11 .12 .13 .14 ... 33645 »
搜珍网 www.dssz.com