CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程

资源列表

« 1 2 ... .89 .90 .91 .92 .93 32494.95 .96 .97 .98 .99 ... 33645 »
  1. max5541

    2下载:
  2. 串行DA转换器MAX5541的驱动程序!单片机为AT89C51!-Serial DA converters MAX5541 drivers! AT89C51 microcontroller!
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:920
    • 提供者:笑三少
  1. Untitled1.rar

    0下载:
  2. 一个32*64点阵屏的驱动程序,在MEGA8上写的代码,在IAR里运行,a scrain led in mega8 in iar
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-25
    • 文件大小:920
    • 提供者:lumeng
  1. ADC2

    0下载:
  2. 使用MSP430单片机内部的AD转换,进行单通道单词转换-MSP430 single chip using the internal AD conversion, the conversion to single-word
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-27
    • 文件大小:920
    • 提供者:赵云
  1. pingpangVHDL

    0下载:
  2. 据说是 vhdl的乒乓ram 代码 提供给大家做个参考吧 -It is said VHDL code of the ping-pong ram available to the U.S. to be a reference to it
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:919
    • 提供者:白饭
  1. nclight

    0下载:
  2. 简单的交通灯VHDL程序设计,自动进行切换。-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:919
    • 提供者:LS
  1. frequency

    0下载:
  2. 可编程的4位频率计数器,得到的频率会累加-Programmable 4-bit frequency counter, frequency will be cumulative。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:919
    • 提供者:
  1. FSK

    0下载:
  2. FSK调制的VHDL编码的fpga实现,了解信号的FSK处理方法-FSK modulation fpga implementation of VHDL coding, the FSK signal processing methods to understand
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:919
    • 提供者:
  1. testbenchHw9-Parts-CombCirc

    0下载:
  2. // Testbench for the following parts found in // MIPS-Parts.V // * 2:1 multiplexer // * 4:1 multiplexer // * Sign extender // * ALU
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:919
    • 提供者:Billy Bob
  1. error-free

    0下载:
  2. i want verilogHDL and VHDL source coding.please help me-i want verilogHDL and VHDL source coding.please help me.......
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:919
    • 提供者:a.deivaseelan
  1. wave

    0下载:
  2. VHDL 语言 波形发生器(含test beach)。我测试通过-Waveform generator VHDL language (including test beach). I tested by
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:919
    • 提供者:wangjianming
  1. Blink-a-led-with-interrupts

    0下载:
  2. Blink a led with interrupts
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-12
    • 文件大小:919
    • 提供者:deer3212
  1. code

    0下载:
  2. Design the logic required for a “Dancing Light” system including 5 lights which are turned on repeatedly
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:919
    • 提供者:mafa87
« 1 2 ... .89 .90 .91 .92 .93 32494.95 .96 .97 .98 .99 ... 33645 »
搜珍网 www.dssz.com