CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程 VHDL编程

资源列表

« 1 2 ... 52 53 54 55 56 5758 59 60 61 62 ... 4322 »
  1. 14_ethernet_test

    0下载:
  2. Xilinx UDP 以太网通信测试,已测试可以直接用(Xilinx UDP Ethernet communication test has been tested and can be directly used.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:7154688
    • 提供者:xsbdkxj
  1. led_test

    0下载:
  2. 一个简单的LED跑马灯实验,通过延时来控制LED的闪烁时间,可以通过这个程序来进行verilog语言的入门(A simple LED marquee experiment, through the delay to control the LED flash time, can be used to get the introduction of Verilog language through this program)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:2267136
    • 提供者:zhegn
  1. ad706_test

    0下载:
  2. 使用AD7606进行8通道采样,并通过异步串口通信将采样结果传给上位机(Using AD7606 to carry out 8 channel sampling and transmit the sampling results to the host computer through asynchronous serial port communication.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:4085760
    • 提供者:zhegn
  1. RISC

    0下载:
  2. 对ALU中的数据进行操作(实现ADD,SUB,AND,NOT等功能)(Operation of data in ALU (ADD, SUB, AND, NOT and other functions).)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:3002368
    • 提供者:讳忌色
  1. LDPC码编译码算法的研究与实现_陈石平

    1下载:
  2. 本文首先回顾了LDPC码的发展历程和现状,介绍了LDPC码检验矩阵的构造、编 译码原理。在对编译码作了深入探讨和分析后,接着进行了RU算法编码和长码编码 的FPGA实现;根据二叉树的性质,提出了一种长码编码的ASIC优化设计的方法,节省 了大量硬件资源;论文详细阐述了CORDIC算法原理以及LDPC码译码中所采用的指 数函数和反双曲正切函数的FPGA实现:CORDIC内核及前后处理单元设计、仿真、综 合及数据分析,这对LDPC码的译码具有很重要的意义,为用数字VLSI来实现LDPC的
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:1529856
    • 提供者:斯蓝蓝
  1. SSI_Library

    0下载:
  2. SSI library, Logic gates verilog codes
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-02
    • 文件大小:256000
    • 提供者:akoleyte
  1. happy

    0下载:
  2. 有程序有仿真,主要实现的功能是生日快乐歌的播放。(There are procedures and simulation, and the main function is happy birthday song.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:55296
    • 提供者:任烁
  1. 5.DAC

    0下载:
  2. 数模转换器即 D/A 转换器,或简称 DAC,是指将数字信号转变为模拟信号的电子元件。(A digital to analog converter, or D/A converter, or DAC, is an electronic component that converts digital signals into analog signals.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:6842368
    • 提供者:天天爱上学
  1. 4.ADC_Ctrl

    0下载:
  2. 模数转换器即 A/D 转换器,或简称 ADC(Analog to Digital Conver),通常是指一个将 模拟信号转变为数字信号的电子元件。(Analog to digital converter, or A/D converter, or ADC. Analog signals are converted into digital signals.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:5527552
    • 提供者:天天爱上学
  1. 3.HC595

    0下载:
  2. 74HC595可以把串行的信号转为并行的信号, 因此常用做各种数码管以及点阵屏的驱动芯片。(74HC595 can turn serial signals into parallel signals, so they are usually used to drive various digital tubes and dot matrix screens.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:129024
    • 提供者:天天爱上学
  1. 2.key_flash

    0下载:
  2. 实现FPGA开发板最基础的按键消抖功能,可以移植。(To achieve the most basic key function of FPGA development board, we can transplant it.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:6176768
    • 提供者:天天爱上学
  1. 1.key_led

    0下载:
  2. 一个简单的FPGA程序,实现最简单的LED显示功能。(A simple FPGA program to achieve the simplest LED display function.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:15411200
    • 提供者:天天爱上学
« 1 2 ... 52 53 54 55 56 5758 59 60 61 62 ... 4322 »
搜珍网 www.dssz.com