CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 计数器设计

搜索资源列表

  1. CalculatorApplet1

    0下载:
  2. 计数器小程序 简单有实用 是课题设计编的-small counter with a simple practical issue is the design series
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1146
    • 提供者:星光
  1. DRFM

    0下载:
  2. 这是一个计数器原理图,主要是用protel dxp设计的,希望对大家有用-This is a counter schematics, it is mainly used Protel Pitch design, we hope to useful
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:20906
    • 提供者:zsz
  1. FPGAprogram4

    0下载:
  2. 16位计数器的设计,这里是实现上述功能的VHDL源程序,供大家学习和讨论。 -16 counter design, here is the realization of the above-mentioned functional VHDL source code for all learning and discussion.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2717
    • 提供者:许嘉
  1. bahe

    0下载:
  2. 设计四 拔河游戏机 1、 设计一个能进行拔河游戏的电路。 2、 电路使用15个(或9个)发光二极管,开机后只有中间一个发亮,此即拔河的中心点。 3、 游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。 4、 亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。 5、 用数码管显示获胜者的盘数。 教学提示: 1、 按钮信号即输入的脉冲信号,每按一次按钮都应能进行
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:292697
    • 提供者:万金油
  1. codeofvhdl2006

    1下载:
  2. 【经典设计】VHDL源代码下载~~ 其中经典的设计有:【自动售货机】、【电子钟】、【红绿灯交通信号系统】、【步进电机定位控制系统】、【直流电机速度控制系统】、【计算器】、【点阵列LED显示控制系统】 基本数字逻辑设计有:【锁存器】、【多路选择器】、【三态门】、【双向输入|输出端口】、【内部(缓冲)信号】、【编码转换】、【加法器】、【编码器/译码器】、【4位乘法器】、【只读存储器】、【RSFF触发器】、【DFF触发器】、【JKFF触发器】、【计数器】、【分频器】、【寄存器】、【状态机】
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:44186
    • 提供者:senkong
  1. shukongfenpinqi

    0下载:
  2. 数控分频器设计:对于一个加法计数器,装载不同的计数初始值时,会有不同频率的溢出输出信号。计数器溢出时,输出‘1’电平,同时溢出时的‘1’电平反馈给计数器的输入端作为装载信号;否则输出‘0’电平。 -NC divider design : an adder counter, loading the initial count value, have different frequency output signal of the overflow. Counter overflow, the
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:797
    • 提供者:空气
  1. MSC51

    2下载:
  2. 单片机MSC51设计的5个源程序:1、数据排序2、多功能数字钟设计3、P1口循环亮灯设计4、脉冲计数器5、8250芯片串口扩展。另附程序详细介绍。 -microcontroller design MSC51 five sources : 1, 2 ranking data, multi-function digital clock design 3, I P1 lighting design cycle 4, pulse counter 5, 8250 chip serial expansi
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:381620
    • 提供者:carll
  1. xiaoxue

    1下载:
  2. 功能介绍: 1、本软件专为小学而设计,为校园介绍、校园新闻、教育教学、学生天地、品德教育等几个栏目。其中论坛是专为家长与学校、学生与教师的交流而设计的。具有很强的实用性。 2、本软件功能强大,操作简单。可以发布首页图片新闻、普通新闻、图片新闻、小游戏等,还可以更改学校名称、地址、电话等。对于发布的新闻,可以审核,待审的新闻在后台可以看到,前台(访问者)却看不到。修改新闻时,可以更改文字的字体、大小、颜色,还可以在文章中插入新闻图片。 3、为了便于不同管理者的管理,本软件支持多级管理,
  3. 所属分类:Web服务器

    • 发布日期:2008-10-13
    • 文件大小:4599137
    • 提供者:kevin
  1. dianzijinsaiyongdechengxu

    0下载:
  2. 用凌阳单片机编的一个带AD采集,语音报计数,语音报电压,计数器的一个程序,这个是我用来参加今年的浙江省电子设计大赛的程序,效果很好-Sunplus with a microcontroller series with AD Acquisition, Voice reported count, Voice reported voltage, Counter of a process, this is what I used to attend this year's Zhejiang El
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:116377
    • 提供者:zhoudong
  1. COUNT_10

    0下载:
  2. VHDL源代码.设计一个带有异步清0功能的十进制计数器。计数器时钟clk上升沿有效,清零端为clrn,进位输出为co。 -VHDL source code. Asynchronous design with a 0-counter function of the metric system. Counter clock clk ascending effective end to reset clrn, rounding output co.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:928
    • 提供者:sky
  1. COUNT_4qiduan

    0下载:
  2. VHDL源代码.设计一个模为4的计数器,并在实验箱上用七段数码管显示结果-VHDL source code. Design a scale of four counters, and the experimental box used in paragraph 107 of Digital Display Results
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:791
    • 提供者:sky
  1. VerilogHDLshejifengpingqihe32weijishuqi

    0下载:
  2. 本文件介绍的是用VerilogHDL语言设计分频器和32位计数器.-This paper presents the design using Verilog HDL language Frequency Divider and 32 counters.
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:159000
    • 提供者:少华
  1. circulatingcolourfullightcontroller

    0下载:
  2. 本次设计的具有6种花型循环变化的彩灯控制器就是用计数器和译码器来实现,其特点用双色发光二极管,能发红色和绿色两色光。-this design with flowers and 6-cycle changes lantern controller is using counter and decoder to achieve. characterized by two-color LED, can be red and green two shade.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:642645
    • 提供者:刘浏
  1. my_design_frequency

    0下载:
  2. 在数字电路中,常需要对较高频率的时钟进行分频操作,得到较低频率的时钟信号。我们知道,在硬件电路设计中时钟信号是最重要的信号之一。 下面我们介绍分频器的 VHDL 描述,在源代码中完成对时钟信号 CLK 的 2 分频, 4 分频, 8 分频, 16 分频。 这也是最简单的分频电路,只需要一个计数器即可。-in digital circuits, and often the need for higher frequency for the clock frequency operation, th
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1435
    • 提供者:卢吉恩
  1. ljmusic

    0下载:
  2. 综合微机通用总线、定时计数器、并行接口、中断控制器等芯片等控制原理,设计电路及控制程序,实现定时I/O、可根据8位开关输入信号,选择多首歌曲中某一首歌,控制扬声器播放歌曲,并在播放每一音频时,采用8位发光二极管显示该音顺序号。串行-integrated computer Universal Bus, regular counter, parallel interface, interrupt controller chip, such as the principle of control, C
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:430542
    • 提供者:liujing
  1. p1_port

    0下载:
  2. 单片机C51典型应用设计源码 包括液晶,串口,定时器计数器等内容-80C51 typical application design source including LCD, Serial, timer counter, etc.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:79274
    • 提供者:张艺怀
  1. g31

    0下载:
  2. 站长计数器功能: 1.计数器数字图片和文字两种机制共存。 2.页面显示计数和IP防刷新计数两种计数模式。 3.s cript脚本方式调用计数器代码。 4.共5种图片样式任您选择使用,并且可以方便地增加计数器图片样式。 5.稳定性、安全性、速度上表现都很优秀,功能齐全,代码集成程度高、完全公开,专业制作,完全免费。 6.适合网站设计人员在制作企业站时使用。 -head of counter functions : 1. Counter Digital Photo
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:23934
    • 提供者:candy
  1. VHDLKEYBOARD

    0下载:
  2. 此模块用 VHDL 硬件描述语言来实现,对键盘设计的实际操作检验表明,此模块响应迅速、识别准确,较好地实现了键盘扫描和去抖动功能, 达到了预期的设计目的。同时,将状态机、扫描线、计数器等相关参数稍作改动,就可以扩展到实现不同键盘矩阵的设计-VHDL hardware descr iption language to achieve the keyboard design of the actual operation of testing show that This module in res
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:62968
    • 提供者:章菁
  1. MCU_Hardware_design_fundamental

    0下载:
  2. 单片机硬件系统设计原则一个单片机应用系统的硬件电路设计包含两部分内容:一是系统扩展,即单片机内部的功能单元,如ROM、RAM、I/O、定时器/计数器、中断系统等不能满足应用系统的要求时,必须在片外进行扩展,选择适当的芯片,设计相应的电路。二是系统的配置,即按照系统功能要求配置外围设备,如键盘、显示器、打印机、A/D、D/A转换器等,要设计合适的接口电路。 -MCU hardware design principles of a system based application system ha
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:8115
    • 提供者:wangtian
  1. 9.3_Pulse_Counter

    1下载:
  2. 基于Verilog-HDL的硬件电路的实现 9.3 脉冲计数与显示   9.3.1 脉冲计数器的工作原理   9.3.2 计数模块的设计与实现   9.3.3 parameter的使用方法   9.3.4 repeat循环语句的使用方法   9.3.5 系统函数$random的使用方法   9.3.6 脉冲计数器的Verilog-HDL描述   9.3.7 特定脉冲序列的发生   9.3.8 脉冲计数器的硬件实现 -based on V
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4177
    • 提供者:宁宁
« 1 2 3 4 5 6 78 9 10 11 12 ... 32 »
搜珍网 www.dssz.com