CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Controller

搜索资源列表

  1. current_controller

    0下载:
  2. Current controller for inverter
  3. 所属分类:matlab

    • 发布日期:2017-04-28
    • 文件大小:53166
    • 提供者:pradeep reddy
  1. VerilogHDL

    1下载:
  2. 完整的九层电梯控制器verilog源代码-Complete nine-story elevator controller Verilog source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1310710
    • 提供者:周依婷
  1. jiaotongdeng

    0下载:
  2. 本系统采用MSC-51系列单片机ATSC51和可编程并行I/O接口芯片8255A为中心器件来设计交通灯控制器,实现了能根据实际车流量通过8051芯片的P1口设置红、绿灯燃亮时间的功能;红绿灯循环点亮,倒计时剩5秒时黄灯闪烁警示(交通灯信号通过PA口输出,显示时间直接通过8255的PC口输出至双位数码管);车辆闯红灯报警;绿灯时间可检测车流量并可通过双位数码管显示。本系统实用性强、操作简单、扩展功能强。 -The system uses the MSC-51 Series MCU ATSC51 a
  3. 所属分类:software engineering

    • 发布日期:2017-04-16
    • 文件大小:131566
    • 提供者:ljj
  1. Avalon_VGA_Controller

    0下载:
  2. Vga Controller source code for Altera FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:324516
    • 提供者:leblebitozu
  1. AlteraSDR-SDRAM

    0下载:
  2. Altera 官方提供的SDRAM控制器,verilog的-SDRAM controller provided by Altera in Verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:811528
    • 提供者:machenghai
  1. wireless

    0下载:
  2. Example: Distributed Wireless Control System,可用于无线传感器网络中-This example shows distributed control of a DC-servo using communication over a wireless network. The example also shows how to simulate power consumption and how to use the battery block.
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:12506
    • 提供者:zhangxiangbin
  1. PTZController_v1.7

    0下载:
  2. cctv protocol pelco controller
  3. 所属分类:Other systems

    • 发布日期:2017-05-09
    • 文件大小:1981327
    • 提供者:buldofer
  1. dvc

    0下载:
  2. 中央空调控制器仿真. 完全仿真控制器的操作行为.-Central air-conditioning controller simulation
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:35556
    • 提供者:
  1. traffic_controller

    0下载:
  2. it is a verilog code written for traffic light controller will synthesize in xinlix ise 8.2i.i have tested it om my kit.[i mae my own kit for spartan2 device].it is a state machine based code.-it is a verilog code written for traffic light controlle
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:35477
    • 提供者:yasir ateeq
  1. digitPID

    0下载:
  2. PID控制器应用的非常广泛,而在计算机控制中,数字PID控制比连续PID控制更为优越,更为灵活。-PID controller of a very broad application, and in computer control, digital PID control PID control for more than the superior, more flexible.
  3. 所属分类:Document

    • 发布日期:2017-04-02
    • 文件大小:608709
    • 提供者:周洪
  1. testbench

    0下载:
  2. ddr sdram controller datd module source code
  3. 所属分类:Other systems

    • 发布日期:2017-04-14
    • 文件大小:2860
    • 提供者:KrishnaKishore
  1. MVCDemo

    0下载:
  2. MVC pattern in C# -model view controller-model view controller pattern
  3. 所属分类:CSharp

    • 发布日期:2017-04-07
    • 文件大小:951434
    • 提供者:me
  1. 07_rs232_echo

    0下载:
  2. Controller RS232 in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2440
    • 提供者:darek
  1. predict

    0下载:
  2. 模拟人的思维特点,提出一种新型智能控制器:仿人逻辑预测控制器. 该控制器融合了基于泛布尔代数的逻辑控制器和基于模型的预测控制器的特点, 是一种多值逻辑混合动态系统. Matlab仿真表明, 该控制器在模型匹配时性能良好, 在模型失配时依然能满意运行, 表现出鲁棒性强, 超调量小的特点. 与其它类型人工智能控制器相比, 该控制器结构简单, 物理背景明确, 数学概念清晰, 便于在工业控制领域推广应用.-Simulation of the characteristics of people' s
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-04-17
    • 文件大小:267058
    • 提供者:文豪
  1. PID

    0下载:
  2. 采用遗传算法直接优化数字PID控制器参数,并控制一个带时延的系统,仿真结果表明遗传算法收 敛较快,得到的PID控制器控制效果明显优于传统的PID控制。 -Optimize the use of genetic algorithms directly figure PID controller parameters and control a system with time delay, simulation results show that the rapid convergence
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-04-08
    • 文件大小:22253
    • 提供者:孙传华
  1. hgfd

    1下载:
  2. :介绍了基于AT89C51单片机的温室大棚温湿度测控系统设计原理,主要电路设计及软件 设计等。该系统采用AT89C51单片机作为控制器,可对执行机构发出指令实现大棚温湿度参数调节, 具有上下位机直接设置温湿度范围,温湿度实时显示等功能。上位机采用Delphi软件进行编写,用 户界面友好,操作简单,可以根据大棚作物生长情况绘制成简明直观的作物生长走势图,从而容易得 出最适合作物生长的温湿度值。-: AT89C51 single-chip based on the introduct
  3. 所属分类:SCM

    • 发布日期:2017-04-27
    • 文件大小:67463
    • 提供者:lanchenglin
  1. controlsystemofwashingmachine

    0下载:
  2. 本系统设计并建立一个由微控制器控制的洗衣机控制系统。要求完成以下基本控制功能:给水和排水的自动控制,用户定时时间的设定,电机的正反转,各种定时和故障报警电路,定时开、关机的控制,三分钟延时启动的保护,具有键盘设定功能.设计相应的A/D、D/A、键盘、显示接口和传感器测量水位电路,可在线键盘参数设置、定时检测、显示、报警,其中控制输出部分采用模拟量或开关量进行控制。 -The system was designed and set up a micro-controller to contro
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:11794
    • 提供者:周海东
  1. fitnessfunction

    0下载:
  2. 线性二次最优控制加权阵遗传算法优化适应度函数m文件;模糊控制器量化比例因子遗传算法优化适应度函数m文件-Linear quadratic optimal control weighted array genetic algorithm fitness function m documents quantization scale factor of fuzzy controller optimized by GA fitness function m file
  3. 所属分类:matlab

    • 发布日期:2017-04-01
    • 文件大小:4487
    • 提供者:张立迎
  1. Rs232sourcecode

    0下载:
  2. Working RS232 controller running at 9600 Hz. Consist of Transmitter and Receiver Module. Tested in FPGA Spartan 3 Included files for testing at FPGA - Scan4digit .vhd - to display at 7 sgement display - D4to7 .vhd - Convert HEX decimal to
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:4831
    • 提供者:Ikki
  1. sdramctrl2

    0下载:
  2. sdram controller in vhdl
  3. 所属分类:assembly language

    • 发布日期:2017-04-26
    • 文件大小:15836
    • 提供者:jil
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 50 »
搜珍网 www.dssz.com