CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog Quartus

搜索资源列表

  1. Quartus+II+++ModelSim+SE+++后仿真+++库文件.rar

    0下载:
  2. Mentor公司的ModelSim是业界最优秀的HDL语言仿真软件,它能提供友好的仿真环境,是业界唯一的单内核支持VHDL和Verilog混合仿真的仿真器。它采用直接优化的编译技术、Tcl/Tk技术、和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护IP核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段,是FPGA/ASIC设计的首选仿真软件。
  3. 所属分类:其它

    • 发布日期:2009-09-01
    • 文件大小:1009119
    • 提供者:t613@163.com
  1. Verilog数字系统设计教程(第2版)

    0下载:
  2. Verilog数字系统设计教程(第2版)”这本书的思考题没有答案,要多做实验和仿真-• Source code of designs in chapters 1 to 8. • Chapter 8 designs include the SAYEH processor, its C Compiler, and its Sort program run files. • Several Designs, including SAYEH, that are programmed o
  3. 所属分类:书籍源码

    • 发布日期:2016-01-27
    • 文件大小:2048
    • 提供者:shixiaodong
  1. DDC.rar

    4下载:
  2. verilog语言实现的数字下变频设计。 在ALTERA的QUARTUS ii下实现。实用,好用。,Verilog language implementation of the digital down-conversion design. ALTERA at the implementation of QUARTUS ii. Practical, easy to use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-10-20
    • 文件大小:43795
    • 提供者:咚咚
  1. EPM240_Uart

    0下载:
  2. 基于Quartus II的Verilog编写的Uart串口测试程序。数据收发机LED灯测试。-Based on the Verilog Quartus II prepared Uart serial port test program. LED lamp test data transceiver.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:257623
    • 提供者:
  1. FPGA_SDRAM_PCI

    0下载:
  2. 一个基于FPGA的PCI数据采集程序,包括SDRAM控制,PCI9054时序控制,开发语言verilog,开发环境quartus-FPGA-based PCI data acquisition procedures, including SDRAM control, PCI9054 timing control, the development of language verilog, development environment quartusII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2798324
    • 提供者:李国扬
  1. SDR

    3下载:
  2. 直接序列扩频通信的Verilog仿真代码,在Quartus II中实现。-Direct sequence spread spectrum communication Verilog simulation code, implemented in Quartus II.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1266273
    • 提供者:liuqian
  1. USB2.0

    1下载:
  2. usb2.0 fpga程序 用vhdl语言编写 quartus环境实现 -usb2.0 fpga using vhdl language program quartus environment to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-08-29
    • 文件大小:3567967
    • 提供者:PETER
  1. 1

    1下载:
  2. 基于matlab和QuartusII开发的无线通信FPGA设计,内有(matlab代码,Verilog代码,缩略语表.doc)注释详细,代码数十个,总有一个是你喜欢的!-Matlab and QuartusII based on the development of wireless communications FPGA design, there are (matlab code, Verilog code abbreviations. Doc) Notes detail dozens of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3223763
    • 提供者:南才北往
  1. ALU

    1下载:
  2. vhdl代码 使用quartus编译 cpu中 alu的设计 可作为课程设计的参考 此为16的运算器-VHDL code using Quartus compiler cpu in alu design of curriculum design can be used as a reference for this for 16 computing device
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1081
    • 提供者:闵瑞鑫
  1. fpga-pwm

    0下载:
  2. 用verilog 语言写的FPGA子程序,环境是quartus II 7.2 已经在EP1C6Q240上测试过,源码包含仿真文件和仿真结果,本程序可以直接嵌入做子程序使用。-FPGA with the verilog language written subroutines, the environment is quartus II 7.2 has been tested on EP1C6Q240, source code contains the simulation files and s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1163190
    • 提供者:黄家武
  1. CLOCK

    1下载:
  2. 文通过ALTERA公司的quartus II软件,用Verilog HDL语言完成多功能数字钟的设计。主要完成的功能为:计时功能,24小时制计时显示;通过七段数码管动态显示时间;校时设置功能,可分别设置时、分、秒;跑表的启动、停止 、保持显示和清除。-Through the ALTERA company quartus II software, using Verilog HDL language to complete the design of multi-function digital
  3. 所属分类:Other systems

    • 发布日期:2017-03-22
    • 文件大小:182531
    • 提供者:张保平
  1. wishbone

    0下载:
  2. wishbone IP CORE Verilog quartus-wishbone IP CORE Verilog quartusii
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:12868
    • 提供者:thegreeneyes
  1. verilog

    0下载:
  2. 数字锁相环电路verilog源代码 开发环境quartus-Digital PLL circuit verilog source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1183
    • 提供者:louxy
  1. MATLAB-and-Verilog-codes

    0下载:
  2. there are 5 files. the first two codes are written in Matlab as m-files in control system design to show step responses. in contrast, the final three codes are written in verilog ( Quartus II) used in Altera one of them for BCD adder and the other fo
  3. 所属分类:matlab

    • 发布日期:2017-04-13
    • 文件大小:2147
    • 提供者:YAZEN H
  1. verilog

    0下载:
  2. 用Verilog实现的各种例程,在altera平台进行测试。(Use Verilog implementation of various routines, in altera platform for testing.)
  3. 所属分类:Windows编程

    • 发布日期:2017-12-24
    • 文件大小:3732480
    • 提供者:莫五张
  1. Verilog AD9826驱动程序 及中英文数据手册

    8下载:
  2. Verilog AD9826驱动程序 CCD图像采集 Quartus 测试编译通过 包含中英文数据手册 及ilx554b CCD数据手册
  3. 所属分类:源码下载

  1. SHA256_SYSTEM

    0下载:
  2. 利用硬件(可编程逻辑器件FPGA)实现密码算法SHA256,在FPGA中嵌入软核NIOSii,在NIOSii上进行软件编程。 硬件EDA工具为ALTERA的Quartus ii,软件IDE为eclipse(嵌在Quartua中)。(The hardware (programmable logic device FPGA) is used to implement the cryptographic algorithm SHA256, and the soft core NIOSii is em
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-09
    • 文件大小:4413440
    • 提供者:风@筝
  1. Alter官方FFT程序(使用Verilog编写)

    0下载:
  2. 其主要使用verilog编写fft程序主体,之后通过quartus和matlab实现对fft程序的测试,可以很好做到自动化验证(The main use of verilog prepared fft main program, and then achieved by quartus and matlab fft program testing, you can do a good job of automated verification)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-21
    • 文件大小:995328
    • 提供者:未曾走远
  1. Quartus按键去抖动程序

    0下载:
  2. Verilog语言编写的按键去抖动模块demo(Key debounce module demo written in Verilog language)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-02
    • 文件大小:4096
    • 提供者:Wolfalone
  1. Quartus Prime Introduction

    0下载:
  2. 一份微软官方的全英文quartus prime入门教程文档。(An official Microsoft English quartus prime tutorial document.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-02
    • 文件大小:7974912
    • 提供者:Wolfalone
« 1 23 4 5 6 7 8 9 10 ... 27 »
搜珍网 www.dssz.com