CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog Quartus

搜索资源列表

  1. LED

    0下载:
  2. QuartusII 9下的LED灯示例,很简单的例子,可以直接运行-The sample of LED of quartus II 9.0 with the language of Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1213646
    • 提供者:peanut
  1. yuandaima

    0下载:
  2. 以GPS为时间基准,实现多传感器器数据同步采集,整合信息后发送 VERILOG语言编写 QUARTUS II环境-GPS-time basis, synchronized multi-sensor data acquisition, integration of information after sending VERILOG language environment QUARTUS II
  3. 所属分类:Other systems

    • 发布日期:2015-11-10
    • 文件大小:2130944
    • 提供者:王秋帆
  1. fp_prj

    0下载:
  2. 分频器,Verilog语音编写,quartus仿真过,可以利用使蜂鸣器发生-Frequency divider, Verilog speech writing, quartus simulation, can make use of the buzzer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:205190
    • 提供者:孟稳
  1. led_water

    0下载:
  2. 流水灯,Verilog编写,可用用,在quartus仿真过,也下载到FPGA开发板啦,可用。-Running water light, Verilog code, available to use, in the quartus simulation, also downloaded to the FPGA development board, is available.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3165712
    • 提供者:孟稳
  1. key_piano

    0下载:
  2. 按键消抖,Verilog编写,可用用,在quartus仿真过,也下载到FPGA开发板啦,可用。-Verilog code, available to use, in the quartus simulation, also downloaded to the FPGA development board, is available.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:6702555
    • 提供者:孟稳
  1. verilog-hdl(Quartus)

    0下载:
  2. 一个关于Quartusii的软件使用教程,包括Modelsim的仿真教程,比较简单-About Quartusii software tutorials, including Modelsim simulation tutorial, relatively simple
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-25
    • 文件大小:8666584
    • 提供者:rainsnowfrost
  1. hardwired

    0下载:
  2. 掌握硬连线控制器的设计方法。掌握硬连线控制器的Verilog HDL描述方法。了解QUARTUS II硬件描述语言和原理图混合输入设计的过程。 -Master the design method of hard wired controller. Grasp the hard wired controller Verilog HDL descr iption method. To understand the process of QUARTUS II hardware descr ipti
  3. 所属分类:File Formats

    • 发布日期:2017-05-17
    • 文件大小:4506784
    • 提供者:刘祖媛
  1. clk_div_N

    0下载:
  2. 程序可以实现时钟的任意偶数分频,使用Verilog语言编写。在quartus ii中得到验证并进行了仿真-Program can be any even divided clock using Verilog language. Been verified in quartus ii and simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:839
    • 提供者:李亮
  1. Posedge-Detection-Circuit

    0下载:
  2. Verilog脉冲边沿检查,此代码包含完整的工程,利用quartus软件可以直接运行仿真。-Verilog edge of pulse examination, this code contains the complete engineering, quartus software can be used to directly run the simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3116705
    • 提供者:张林
  1. FIR

    0下载:
  2. 基于Verilog的FIR滤波器的设计,该代码包含完整的工程,可以利用quartus软件直接运行-Design of FIR filter based on Verilog, the code contains a complete project, can use quartus software to run directly
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:165492
    • 提供者:张林
  1. clock

    0下载:
  2. 基于Verilog的多功能数字钟,看代码最好用quartus软件打开看。结合说明文档看。-Multi function digital clock based on Verilog, look at the code is best to use quartus software to open to see. Combined with the documentation see.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:186879
    • 提供者:张林
  1. VGA

    0下载:
  2. quartus ii verilog hdl 实现VGA时序及显示的工程和源程序 -quartus ii verilog hdl vga timing project and source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:55026
    • 提供者:zhaoyulong
  1. PCF8563

    0下载:
  2. quartus ii 实时时钟pcf8563工程及源码 Verilog hdl 实现iic总线-quartusii realtime pcf8563 project and code and IIC verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:74291
    • 提供者:zhaoyulong
  1. SI4432_RX_192

    0下载:
  2. 基于FPGA的SI4432无线透传模块的VERILOG工程,测试可用(接收端工程文件),使用Quartus II 可以直接打开。 -FPGA-based wireless passthrough module SI4432 VERILOG engineering, testing available
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5561551
    • 提供者:王楠
  1. SOS

    0下载:
  2. 基于verilog的sos求救信号的编写,适用于quartus ii的开发环境!-Verilog based distress signal written in sos, apply quartus ii development environment!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:596284
    • 提供者:邓智友
  1. AT070TN83

    0下载:
  2. at070tn83 800x480 tft lcd verilog 測試 quartus 文件 -800x480 tft lcd at070tn83 testing project file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3597646
    • 提供者:梁定宇
  1. trafficlights

    0下载:
  2. Verilog实现的交通灯功能工程 在Quartus环境-traffic lights of Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1407830
    • 提供者:seven
  1. swp

    0下载:
  2. 本文用Verilog语言设计实现SWP数字收发接口的电路设计,并用QuartusⅡ9.1完成调试和功能仿真。在我们的设计中,采用的是分模块的设计方法。设计过程中,我们将首先完成系统架构设计,明确各个分模块的功能。分别实现各模块功能后,再联合所有模块进行总体系统的调试和仿真,最终完成SWP数字收发接口的模块设计。-SWP paper implements digital transceiver interface circuit design using Verilog language desi
  3. 所属分类:Other systems

    • 发布日期:2017-05-09
    • 文件大小:1575215
    • 提供者:Jordan Dick
  1. CH376

    1下载:
  2. 用VERILOG HDL语言写的usb程序。FPGA芯片用的是ALTERA公司的,编程所用的软件为quartus和nios,USB芯片为CH376.-VERILOG HDL language written with usb program. ALTERA FPGA chip using the company s software program used quartus and nios, USB chip CH376.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6357842
    • 提供者:周燕
  1. jishuqi

    0下载:
  2. Verilog实现计数器功能,开发环境是Quartus-I dont know
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:338829
    • 提供者:skyadmin
« 1 2 ... 18 19 20 21 22 2324 25 26 27 »
搜珍网 www.dssz.com