CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - frequency counter verilog

搜索资源列表

  1. verilog1

    0下载:
  2. 用verilog语言编写的6分频分频计数器。分频后用来控制蜂鸣器响,也可以修改代码做成更高分频的计数器。压缩包内也包含此分频器的modelsim仿真文件-Verilog language with 6 frequency divider counter. Frequency and used to control the buzzer sound, you can modify the code to make a higher frequency counter. Compressed pac
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:143141
    • 提供者:广子
  1. pld MegaWizard Plug-In Manager

    1下载:
  2. 利用QuartusII的"MegaWizard Plug-In Manager", 设计输入数据宽度是4bit的ADD、SUB、MULT、DIVIDE、COMPARE 把它们作为一个project,DEVICE选用EPF10K70RC240-4,对它们进行 时序仿真,将仿真波形(输入输出选用group)在一页纸上打印出来。 2.利用QuartusII的"MegaWizard Plug-In Manager"中的LPM_
  3. 所属分类:软件工程

    • 发布日期:2016-01-24
    • 文件大小:32214
    • 提供者:李侠
  1. devider_design

    0下载:
  2. Abstract循序电路第一个应用是拿来做计数器((笔记) 如何设计计数器? (SOC) (Verilog) (MegaCore)),有了计数器的基础后,就可以拿计数器来设计除频器,最后希望能做出能除N的万用除频器。-Abstract The first application of sequential circuits are used to make counter ((notes) How to design a counter? (SOC) (Verilog) (MegaCore)),
  3. 所属分类:File Formats

    • 发布日期:2017-03-29
    • 文件大小:39651
    • 提供者:王媛媛
  1. fb

    0下载:
  2. 占空比为1:1 的方波verilog程序,通过修改counter可以改变频率及占空比-1:1 duty cycle square wave of verilog procedures, counter can be changed by modifying the frequency and duty cycle
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:176536
    • 提供者:zdy
  1. quaddecoder_verilog_ise11.2_used_09042010

    0下载:
  2. Two simple Quadrature decoder and Counter build in a XILINX XC9536 CPLD. This Core is coded in Verilog and contains the compete Project file and the fitted quad.jed File. The Pinout is descr ipted in the Constrained file quad.ucf. To use them, y
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:71045
    • 提供者:JUPP
  1. Timer_sigtap

    1下载:
  2. 用Verilog HDL语言写一个计时器。其实就是在计数器的时钟输入端输入一个固定频率的时钟-Verilog HDL language used to write a timer. Is actually counter clock input of a fixed frequency clock input
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-12-18
    • 文件大小:2803712
    • 提供者:sunying
  1. counter

    0下载:
  2. 本文介绍了基于FPGA的数字频率计的设计方法,设计采用硬件描述语言Verilog ,在软件开发平台ISE上完成,可以在较高速时钟频率(48MHz)下正常工作。该数字频率计采用测频的方法,能准确的测量频率在10Hz到100MHz之间的信号。-This article describes the FPGA-based digital frequency meter design method using hardware descr iption language Verilog, ISE on t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1879701
    • 提供者:PengJ
  1. plj_book

    0下载:
  2. EDA,verilog 语言写的频率计,一个是测频,一个是产生一定的频率作为信号源,可在cycloneII 上验证,-EDA, verilog language written in frequency counter, one frequency measurement, one is a certain frequency as the signal source can be verified on the cycloneII, thank you! !
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-10-14
    • 文件大小:652288
    • 提供者:谷向前
  1. Cymometer

    0下载:
  2. 这是本人用verilog语言做的频率计!对于硬件来说是很好的程序.-This is my language to do with verlog frequency counter!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:950145
    • 提供者:Hong
  1. report-of-digital-pluse-counter

    0下载:
  2. 某一大学里关于数字频率计的电子实验报告,内容详尽,含verilog源码-A university on the electronic digital frequency meter test reports, and detailed, with verilog source
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:85915
    • 提供者:zhaozhijie
  1. freq_meter

    0下载:
  2. 使用verilog写的频率计,可切换档位-Frequency counter using verilog write switch stalls
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:1251287
    • 提供者:Engr.Echo
  1. Freq_counter_ise12migration

    0下载:
  2. 用verilog实现的一个频率计数器,可分别在不同的频率下计数(自己设定),里面有几个有用的小模块,分频,计数,显示,同步,进位等-Verilog to achieve a frequency counter, respectively, in different frequency count (set), there are several useful modules, divide, count, display, synchronization, binary, etc.
  3. 所属分类:Communication

    • 发布日期:2017-11-16
    • 文件大小:88248
    • 提供者:曾俊
  1. A-4-bit-variable-modulus-counter

    1下载:
  2. 用Verilog HDL设计一个4bit变模计数器和一个5bit二进制加法器。在4bit输入cipher的控制下,实现同步模5、模8、模10、模12及用任务调用语句实现的5bit二进制加法器,计数器具有同步清零和暂停计数的功能。主频为50MHz,要求显示频率为1Hz。-A 4-bit variable modulus counter and a 5bit of binary adder using Verilog HDL design. 4bit input under the control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-04
    • 文件大小:1733
    • 提供者:赵玉著
  1. dpll

    0下载:
  2. 用verilog编写的全数字锁相环,包括鉴相器,模K计数器,加减脉冲模块和分频模块,都经过验证-verilog based digital phase lock loop design, including phase detector,mode K counter, increment/decrement counter and frequency divider
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6231
    • 提供者:chi zhang
  1. module-counter8

    0下载:
  2. 用verilog实现8为计数器频率范围20-80kHz,根据DDS原理来一个时钟计数器记一下,n=n+1,根据公式fout=(fc÷x)÷2,fout=80 fc=320,所以n≥2时,再取反,又由公式 fout=(k.fc)÷2^n,k=50hz,fout=80khz,fc=320,所以数据的位宽n≥7。 设计要求两路方波信号的相位差在0-360゜可调,可以根据延时来实现。具体的-8 is realized with verilog counter frequency range 20-8
  3. 所属分类:assembly language

    • 发布日期:2017-03-31
    • 文件大小:24576
    • 提供者:倪飞
  1. freq_cnt

    0下载:
  2. Frequency Counter in Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1483
    • 提供者:juan
  1. Frequency-divider

    0下载:
  2. 利用Verilog设计的在停车场情况下的模拟的分频器和计数器的代码-The use of Verilog design in the parking lot in case of analog frequency divider and counter code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:10221
    • 提供者:陆晓忆
  1. verilog_PLL

    0下载:
  2. 全数字锁相环的verilog源代码,包括鉴相器,K变摸可逆计数器,加减脉冲器和N分频器。已经仿真实现。(All digital phase-locked loop Verilog source code, including phase discriminator, K variable touch reversible counter, add and subtract pulse and N frequency divider. Have been implemented by simula
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:11264
    • 提供者:小米1
« 1 2»
搜珍网 www.dssz.com