CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - veril

搜索资源列表

  1. CY7C68013.rar

    2下载:
  2. USB2.0的Verilog实现,含有完整的FPGA代码,Use Verilog to implement the USB2.0 protcol
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-20
    • 文件大小:600474
    • 提供者:XCP
  1. config_ad9957

    1下载:
  2. 用Verilog正确配置ad9957,,在ISE环境中正确编译与实现-Properly configured with the Verilog ad9957,, compiled in the ISE environment and realization of the right
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1410
    • 提供者:dengxiaosong
  1. AMBA-Bus_Verilog_Model

    3下载:
  2. 该源码包是2.0版本的AMBA总线的Verilog语言模型,主要包括5个部分:AHB总线仲裁器,AHB-APB总线桥接器,AHB总线上从设备ROM模型,AHB总线上从设备RAM模型,参数定义。-This source code package is the model of V2.0 AMBA bus of ARM company, It mainly includes the following five parts: the AHB arbiter,AHB-APB bridge, AHB_R
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-04-23
    • 文件大小:17408
    • 提供者:jinjin
  1. arbiter.rar

    0下载:
  2. 一个用verilog编写的总线仲裁程序。多个设备共享总线,不同设备的优先级是变化的,保证每个设备都有公平的使用总线的机会。,Verilog prepared a bus with arbitration proceedings. Multiple devices share the bus, the priority of different devices is changing to ensure that each device will have a fair opportunity t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:3542
    • 提供者:bao rui
  1. butterworth_iir_verilog.rar

    0下载:
  2. 基于butterworth的iir滤波器的verilog代码,已经通过测试。,err
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:10199
    • 提供者:张堃
  1. 8051单片机源码verilog版本

    1下载:
  2. 8051单片机源码verilog版本 包括rtl, testbench, synthesis ,Verilog source code version of 8051, including rtl, testbench, synthesis
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2017-03-25
    • 文件大小:509633
    • 提供者:carol
  1. fft_verilog.rar

    0下载:
  2. FFT IP core 源码 状态控制机,FFT IP core
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:7282
    • 提供者:chris
  1. pipelined-mips-cpu

    6下载:
  2. 用verilog语言描述了MIPS的5级流水线。-Language described by verilog MIPS 5-stage pipeline.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-11-27
    • 文件大小:171008
    • 提供者:jack chen
  1. DDC.rar

    4下载:
  2. verilog语言实现的数字下变频设计。 在ALTERA的QUARTUS ii下实现。实用,好用。,Verilog language implementation of the digital down-conversion design. ALTERA at the implementation of QUARTUS ii. Practical, easy to use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-10-20
    • 文件大小:43795
    • 提供者:咚咚
  1. CRC32.zip

    1下载:
  2. VHDL CRC32 VHDL CRC32,VHDL CRC32 VHDL CRC32
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1713685
    • 提供者:easyboy
  1. Audio_Codec_WM8731.rar

    0下载:
  2. 这是一个控制WM8731的IP。通过SOPC直接可以挂在总线下。,This is a the WM8731 control IP. Can be linked through the SOPC directly under the bus.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:13400
    • 提供者:小杨
  1. FIFO

    0下载:
  2. verilog编写的读写fifo的源码,包括sram的读写控制-verilog source code written to read and write fifo, including the sram to read and write control
  3. 所属分类:Other systems

    • 发布日期:2016-01-27
    • 文件大小:176116
    • 提供者:haha
  1. pid_controler_latest.tar

    2下载:
  2. PID控制器的verilog实现,做闭环控制器的人可以参考-PID controller verilog implementation of closed-loop controller may make reference to
  3. 所属分类:VHDL编程

    • 发布日期:2013-04-23
    • 文件大小:3546
    • 提供者:
  1. IPcore

    0下载:
  2. 基于EP3C25的Altera SDI IP核的使用-EP3C25 Altera SDI IP
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1486853
    • 提供者:wzk
  1. TLC2543

    1下载:
  2. 使用Verilog实现的AD采样,很有用的!-Implemented using Verilog AD sampling, very useful!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-12-11
    • 文件大小:1024
    • 提供者:JAY
  1. DA

    0下载:
  2. FPGA控制DAC2807的源文件,Verilog。附有简单文档-FPGA control DAC2807 source, Verilog. A simple document
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1628689
    • 提供者:柴佳
  1. EnDatlightversion

    11下载:
  2. 海德汉绝对值编码器的ENDAT2.2协议代码,用于编码器数据的解码,然后把得到的数据传送给DSP处理,我们公司用于高精度伺服驱动器上。-Heidenhain encoder absolute agreement ENDAT2.2 code encoder data for decoding the data and then transmitted to the DSP processing, our company for high-precision servo drive.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:27180
    • 提供者:王中超
  1. Omnivision SCCB interface verilog model

    2下载:
  2. Omnivision SCCB interface verilog model
  3. 所属分类:VHDL编程

    • 发布日期:2012-11-12
    • 文件大小:1183
    • 提供者:Chris
  1. dds

    0下载:
  2. verilog 硬件语言实现DDS,使用ise11.1和modelsim se6.5仿真测试-verilog hardware language DDS, using the simulation test ise11.1 and modelsim se6.5
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2595262
    • 提供者:linzi
  1. sata_device_model

    4下载:
  2. sata_device_model,对做硬盘控制器的朋友有帮助-sata_device_model, to make the hard disk controller has a friend help
  3. 所属分类:VHDL编程

    • 发布日期:2012-10-29
    • 文件大小:17412563
    • 提供者:
« 1 23 4 5 6 7 8 9 10 ... 14 »
搜珍网 www.dssz.com