CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - veril

搜索资源列表

  1. cd4000x

    0下载:
  2. CD4000 双3输入端或非门+单非门 TI   CD4001 四2输入端或非门 HIT/NSC/TI/GOL    双4输入端或非门 NSC   CD4006 18位串入/串出移位寄存器 NSC   CD4007 双互补对加反相器 NSC   CD4008 4位超前进位全加器 NSC   CD4009 六反相缓冲/变换器 NSC   CD4010 六同相缓冲/变换器 NSC   CD4011 四2输入端与非门 HIT/TI   CD4012 双4输入端与非门
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2422625
    • 提供者:徐科峰
  1. istyle_103_16_l

    0下载:
  2. Fast and Small Automatic Formatter for Verilog Source Code
  3. 所属分类:Windows Kernel

    • 发布日期:2017-03-25
    • 文件大小:57490
    • 提供者:陈小文
  1. 1602

    0下载:
  2. 用verilog写的1602驱动 仿真已经通过,可以使用-Written in 1602 by verilog-driven simulation has been passed, you can use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1652
    • 提供者:xuwen
  1. xapp930

    0下载:
  2. RGB to Y CB CR conversion source code in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:45687
    • 提供者:niki
  1. ADC1407

    0下载:
  2. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4642
    • 提供者:zyb
  1. sha

    0下载:
  2. 内带3个sha1的C源码。经验证都可用。在我们项目中,已经用于验证SHA1的verilog-With three within the C source code sha1. Experience certificate are available. In our project, has been used to validate SHA1 of verilog
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-28
    • 文件大小:13984
    • 提供者:左宏权
  1. use_SRAM_design_FIFO.pdf

    0下载:
  2. 利用sram技术设计的一个FIFO-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:19518
    • 提供者:jiangp
  1. ethernet_tri_mode.rel-1-0.tar

    0下载:
  2. ethernet mac verilog code.eth 10 100 1000mb/s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:690647
    • 提供者:amir
  1. spiflash_ctrl

    1下载:
  2. VHDL 语言实现的SPI FLASH的读写-VHDL language to read and write of the SPI FLASH
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6383
    • 提供者:李天鸿
  1. DA_TLC5620

    0下载:
  2. 基于verilog hdl 的DA_TLC5620实现源码-Verilog hdl based on the realization of the source DA_TLC5620
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:145596
    • 提供者:wxd
  1. glitch_gen

    0下载:
  2. Verilog產生glitch generator的範例-Verilog examples generated glitch generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:63083
    • 提供者:蕭鴻森
  1. mips1

    0下载:
  2. Verilog MIPS design. I found it somewhere on Internet and it is working :-Verilog MIPS design. I found it somewhere on Internet and it is working :))))
  3. 所属分类:VHDL-FPGA-Verilog

  1. LAB7

    0下载:
  2. Verilog code to move a servo.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:701180
    • 提供者:ecuato
  1. m8086nc

    1下载:
  2. 8086 软核,m公司的。 128bit加密,没有解开,谁能解开? -8086 softcore. source of M corp. 128bit encrypted. Who can decrypt it?
  3. 所属分类:assembly language

    • 发布日期:2017-05-02
    • 文件大小:765551
    • 提供者:天下
  1. I2C_receiver

    0下载:
  2. 自己写的一个i2c slave的模块,verilog,已经通过验证,可以写可以读,希望对大家有用-To write a i2c slave module, verilog, has been validated, you can write can be read, in the hope that useful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:2273
    • 提供者:lj
  1. ps2_verilog

    0下载:
  2. 用Quartus II 7.2 开发的ps2键盘与计算机串口通讯的程序-Quartus II 7.2 with the development of the ps2 keyboard and the computer serial port communication program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:256826
    • 提供者:xly
  1. StopWatch

    0下载:
  2. Verilog 编写的 秒表程序,在数码管上显示,带有清0和暂停键-Stopwatch Implemented by Verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:584483
    • 提供者:洪磊
  1. Cyclone

    0下载:
  2. 时钟同步主要用在产生10NHZ时钟已近IRIG-B-Clock synchronization
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1173920
    • 提供者:孔祥兵
  1. Memory

    0下载:
  2. Example of a FIFO code in verilog language, to control a bus. With a memory stack and a testbench.
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:847163
    • 提供者:Lokous
  1. fft2

    0下载:
  2. 基于FPGA的FFT设计,非常经典,能使用-The FFT-based FPGA design, very classic, can use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4173226
    • 提供者:zll
« 1 2 3 4 5 6 7 89 10 11 12 13 14 »
搜珍网 www.dssz.com