CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog 同步

搜索资源列表

  1. FifoAndTestbench

    0下载:
  2. 这是一个verilog编写的同步fifo和testbench的设计-It is a synchronous fifo and testbench design with verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1611
    • 提供者:王强
  1. FIFO

    0下载:
  2. 运用Verilog 语言对FPGA实现同步的FIFO的数据缓存和传输功能。-FPGA Verilog language used to synchronize the FIFO data buffer and transmission functions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:432620
    • 提供者:张伟
  1. verilog

    0下载:
  2. 带同步清0、同步置1的D触发器,可以实现D触发器-0 with synchronous clear, synchronous set 1 D flip-flop, D flip-flop can be achieved
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:112170
    • 提供者:fy7554
  1. cfo_correction

    0下载:
  2. OFDM载波同步,Verilog编写,完全正确-verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2392547
    • 提供者:chen
  1. BitSynchronization

    0下载:
  2. 位同步信号提取,用verilog实现,经FPGA实验-Bit synchronization signal extraction, with verilog implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:244393
    • 提供者:leaffloat
  1. code

    0下载:
  2. Verilog 代码 读写时钟同步 复杂三台总线建模-Verilog code to read and write three bus clock synchronization modeling complex
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:55979
    • 提供者:xiaowang
  1. ssram-and-tesebench

    0下载:
  2. 实现一个256x8的同步静态存储器SSRAM,用硬件描述语言Verilog写的,同时谢了测试程序-it realized a 256x8 SSRAM,writen by Hardware descr iption language Verilog ,and include the testbench.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:764
    • 提供者:李柏祥
  1. 77433656timing_bit

    1下载:
  2. 位同步仿真,有仿真数据的输入,以及转换,可以输入到verilog中仿真-Bit synchronous simulation, simulation data input, and conversion, can be entered into the simulation verilog
  3. 所属分类:matlab

    • 发布日期:2017-04-17
    • 文件大小:190103
    • 提供者:huangli
  1. weitongbu_datain

    1下载:
  2. 这是一个很好的仿真位同步,而且记录了输入的数据进行了转化,可以直接用于verilog里面的信号输入仿真-This is a good simulation of bit synchronization, and recorded data were entered into, which can be directly used for signal input verilog simulation
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-27
    • 文件大小:190089
    • 提供者:huangli
  1. adda

    0下载:
  2. 这是一个设计良好用来采集数据的adda verilog 程序,部件完整,他可以设定 同步异步时序 可以设定 采集速度 等诸多参数-This is an adda verilog data collection procedures, components is complete
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1145959
    • 提供者:zhangQiping
  1. verilog

    0下载:
  2. Verilog学习例程:4位二进制数的乘法器、5分频器、8位数据寄存器、8位移位寄存器、边沿D触发起门级设计、边沿D触发器行为级设计、同步计数器、异步计数器-Verilog learning routines: 4-bit binary number multiplier, 5 dividers, 8-bit data registers, 8-bit shift register, edge-triggered D gate-level design, level design edge D
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1067292
    • 提供者:城管111
  1. UART_RS232(verilog)

    1下载:
  2. /本模块的功能是验证实现和PC机进行基本的串口通信的功能。需要在PC机上安装一个串口调试工具来验证程序的功能。程序实现了一个收发一帧10个bit(即无奇偶校验位)的串口控制器,10个bit是1位起始位,8个数据位,1个结束位。串口的波特律由程序中定义的div_par参数决定,更改该参数可以实现相应的波特率。程序当前设定的div_par 的值是0x145,对应的波特率是9600。用一个8倍波特率的时钟将发送或接受每一位bit的周期时间划分为8个时隙以使通信同步.程序的工作过程是:串口处于全双工工作
  3. 所属分类:assembly language

    • 发布日期:2017-11-07
    • 文件大小:600285
    • 提供者:饕餮小宇
  1. FIFO--verilog

    0下载:
  2. 同步jk触发器 实现10进制 简单易懂-jk
  3. 所属分类:Other systems

    • 发布日期:2017-04-11
    • 文件大小:1499
    • 提供者:王星
  1. PCM30-Verilog-source-code

    0下载:
  2. 使用Verilog设计PCM30基群帧同步电路 电路功能说明: 1.输入码流DATA,速率为2.04Mb/S;每帧256bit,其中前8bit为帧同步码;偶数帧的帧同步码为10011011,奇数帧的帧同步码为110XXXXX(X为任意值)。 2.系统初始状态为失步态,失步信号FLOSS输出低电平,电路在输入码流里逐比特搜寻同步码,当搜寻到第一个偶帧同步码后,电路转为逐帧搜寻,当连续三帧均正确地搜寻到同步码后,系统状态转为同步态,失步信号输出高电平;否则电路重新进入逐比特搜寻状态。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1086
    • 提供者:Simon
  1. S_FIFO

    0下载:
  2. 自己编写的同步Verilog FiFO 还是不错的 可以-Verilog 同步 FIFO
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:638307
    • 提供者:john
  1. FIFO_RAM

    0下载:
  2. 同步FIFO_RAM的设计及其testbench(8 bit SYN FIFO module fifo_v(clk,rst,wen,ren,full,empty,data,q);)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:3072
    • 提供者:炜仔mjw
  1. syn_fifo

    0下载:
  2. 该源码包是同步fifo的Verilog语言模型,主要包括2个部分:同步fifo控制模块、测试文件。(The source package is a synchronous FIFO Verilog language model, including 2 main parts: synchronous FIFO control module, test files.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:1024
    • 提供者:叶古
  1. FIFO_ASY

    0下载:
  2. 异步FIFO,利用格雷码作异步FIFO指针减少亚稳态产生,利用同步寄存器放置亚稳态的级联传播。(Asynchronous FIFO, using gray code for asynchronous FIFO pointer to reduce metastable, cascade propagation using synchronous register placed metastable.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-18
    • 文件大小:2048
    • 提供者:253765952
  1. SEQ_DETECTOR

    0下载:
  2. 这是一个四位串行数据检测器,一共有三种模式可以选择:递增(检测连续四位递增序列),递减(检测连续四位递减序列)和不变(检测连续四位不变序列)。整个设计采用同步时钟,异步复位,用米利状态机,并配置好了仿真环境和仿真文件。(This is a four bit sequence detector, including three modes that can be selected: increment mode (detecting four consistency increment data)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-12
    • 文件大小:1855569
    • 提供者:LLawliet
  1. SPI

    0下载:
  2. SPI(Serial Peripheral Interface,串行外设接口)是Motorola公司提出的一种同步串行数据传输标准,是一种高速的,全双工,同步的通信总线,在很多器件中被广泛应用。 SPI相关缩写 SS: Slave Select,选中从设备,片选。 CKPOL (Clock Polarity) = CPOL = POL = Polarity = (时钟)极性 CKPHA (Clock Phase) = CPHA = PHA = Phase = (时钟)相位
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-21
    • 文件大小:6144
    • 提供者:helimpopo
« 1 2 34 5 6 7 8 9 10 »
搜珍网 www.dssz.com