CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - VHDL 计数器

搜索资源列表

  1. 计数器:generate语句的应用

    0下载:
  2. VHDL语言应用实例,计数器的设计,用GENERATE语句实现-VHDL example, counter design, realization GENERATE statement
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1015
    • 提供者:刘杰
  1. VHDL.sheji.2

    0下载:
  2. 电子时钟VHDL程序与仿真 10进制计数器设计与仿真 6进制计数器设计与仿真-electronic clock procedures and VHDL simulation Decimal counter design and simulation of six NUMBER Design and Simulation
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:59453
    • 提供者:少龙
  1. counter1

    0下载:
  2. vhdl 计数器源程序,大家看看吧 vhdl 计数器源程序,大家看看吧-vhdl counter source, we see it vhdl counter source, we see it
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1607
    • 提供者:张三
  1. conter1

    0下载:
  2. 一个VHDL计数器。可进一步改装成实际的计数器使用-a VHDL counter. Can be further converted into actual use of the Counter
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1510
    • 提供者:z9z9
  1. K100_SONGER

    0下载:
  2. VHDL计数器
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:216526
    • 提供者:阳光
  1. 使用VHDL语言设计数字钟

    1下载:
  2. 本文所设计的数字钟具有通过reset键对时、 分、 秒调整功能.该设计分为六个部分: 六进制计数器 counter6,十进制计数器 counter10 ,二四进制计数器 counter24, 时钟模块 bclock, LED扫描显示模块 ledctrl。设计使用VHDL 语言,
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-17
    • 文件大小:1628
    • 提供者:woxisiji
  1. jishuqi

    0下载:
  2. VHDL计数器功能从0000到ffff记数
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:102181
    • 提供者:韩非
  1. counter

    0下载:
  2. VHDL计数器的TestBench,适合初学者
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-17
    • 文件大小:1022
    • 提供者:hbsun
  1. VHDL-XILINX-EXAMPLE26

    1下载:
  2. [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9--数控分频器][10--4位十进制频率计][11--译码扫描显示电路][12--用状态机实现序列检测器的设计][13--用状态机对ADC0832电路控制实现SIN函数发生器][14--用状态机实现AD
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3688067
    • 提供者:fuhao
  1. VHDL

    0下载:
  2. 数字系统设计中的全加器、10进制计数器、2-4译码器、摩尔状态机、2-1路选择器的源代码
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:901776
    • 提供者:李帆
  1. ERFREE_COUNTER-vhdl

    0下载:
  2. maxplus2为开发环境 vhdl编写的自由 计数器 程序-maxplus2 VHDL environment for the development of free counter preparation procedures
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:12646
    • 提供者:丁智罡
  1. 减法计数器

    0下载:
  2. EDA常用计数函数VHDL程序设计,减法计数器:可预置数:-common counting function EDA VHDL programming, subtraction counter : Preset :
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2963
    • 提供者:李培
  1. VHDL学习的好资料--18个VHDL实验源代码

    9下载:
  2. 20个VHDL实验源代码,包括: 1 交通灯控制器 2 格雷码变换器 3 BCD码加法器 4 四位全加器 5 四人抢答器 6 4位并行乘法器 9 步长可变加减计数器 10 可控脉冲发生器 11 正负脉宽数控信源 12 序列检测器 13 4位流水乘法器 14 出租车计费器 15 多功能数字钟 16 多功能数字秒表 17 频率计 18 七人表决器 19 数码锁 20 VGA彩条发生器
  3. 所属分类:VHDL编程

    • 发布日期:2009-04-26
    • 文件大小:16540
    • 提供者:qjhktk
  1. daima.用VHDL语言设计一个数字秒表

    1下载:
  2. 用VHDL语言设计一个数字秒表: 1、 秒表的计时范围是0秒~59分59.99秒,显示的最长时间为59分59秒。 2、 计时精度为10MS。 3、 复位开关可以随时使用,按下一次复位开关,计时器清零。 4、 具有开始/停止功能,按一下开关,计时器开始计时,再按一下,停止计时。系统设计分为几大部分,包括控制模块、时基分频模块、计时模块和显示模块等。其中,计时模块有分为六进制和十进制计时器。计时是对标准时钟脉冲计数。计数器由四个十进制计数器和两个六进制计数器构成,其中毫秒位、十毫秒位、秒位和
  3. 所属分类:VHDL编程

    • 发布日期:2016-01-26
    • 文件大小:4767
    • 提供者:SAM
  1. masplus-works 用VHDL语言编写的八进制计数器

    0下载:
  2. 用VHDL语言编写的八进制计数器,在MASPLUS环境下编译通过,可直接使用。-Octal counter using VHDL language, compiled by MASPLUS environment can be used directly.
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-21
    • 文件大小:38422
    • 提供者:zeko
  1. 用 vhdl 设计含异步清零和同步时钟使能

    0下载:
  2. 用 vhdl 设计含异步清零和同步时钟使能的十进制加法计数器。再用 vhdl 设计含异步清零和同步时钟使能的十进制加减可控计数器。 -With vhdl design with asynchronous clear and synchronous clock enable decimal up counter. Vhdl design and then synchronize with asynchronous clear and clock enable control counter
  3. 所属分类:VHDL编程

    • 发布日期:2016-12-22
    • 文件大小:1024
    • 提供者:釉雪Dreamer
  1. pinluji.rar

    0下载:
  2. 四位十进制频率计设计 包含测频控制器(TESTCTL),4位锁存器(REG4B),十进制计数器(CNT10)的原程序(vhd),波形文件(wmf ),包装后的元件(bsf)。顶层原理图文件(Block1.bdf)和波形。 ,Four decimal frequency meter measuring frequency controller design includes (TESTCTL), 4 bit latch (REG4B), decimal counter (CNT10) of t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:11246
    • 提供者:深空
  1. vhdl

    0下载:
  2. 3vhdl简单程序设计;4,8-3优先编码器5,3-8译码器;6,6d锁存器;7,数码管扫描显示;8,四位二进制加法计数器-3vhdl simple programming 4,8-3 5,3-8 priority encoder decoder 6,6 d latch 7, the digital scan 8, four binary up counter
  3. 所属分类:Compiler program

    • 发布日期:2017-04-05
    • 文件大小:483123
    • 提供者:绿茶混咖啡
  1. zz.rar

    0下载:
  2. 键控加/减计数器,将20MHz系统时钟经分频器后可得到5M、1M、100K、10K、5K、1K、10Hz、1Hz ,Keying increase/decrease counter to 20MHz system clock by the divider available after 5M, 1M, 100K, 10K, 5K, 1K, 10Hz, 1Hz
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:489247
    • 提供者:zuoshu_2008
  1. 数字跑表VHDL

    0下载:
  2. 基于VHDL 实现1小时的数字跑表,包含计数器、数据存储等部分(VHDL realization of digital stopwatch based on 1 hours, including counter, data storage etc.)
  3. 所属分类:中间件编程

    • 发布日期:2018-05-01
    • 文件大小:15360
    • 提供者:zaylee
« 1 23 4 5 6 7 8 9 10 ... 25 »
搜珍网 www.dssz.com