CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - ldpc verilog

搜索资源列表

  1. ldpc_decoder_802_3an

    1下载:
  2. 802.3an ldpc码编码、译码设计,使用VERILOG hdl语言编写,包括测试代码,
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:788866
    • 提供者:聂样
  1. LDPC_Encoder_Verilog

    0下载:
  2. Verilog语言编写的LDPC编码程序
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:9276
    • 提供者:陈楚龙
  1. ldpc_encoder_802_3an.v

    0下载:
  2. LDPC 编码的Verilog源代码,我没有验证,不知道效果如何,与大家分享,供大家参考。
  3. 所属分类:流媒体/Mpeg4

    • 发布日期:2008-10-13
    • 文件大小:622961
    • 提供者:peter
  1. ldpc码的verilog源码

    0下载:
  2. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2009-03-21
    • 文件大小:9093
    • 提供者:pliu135@163.com
  1. LDPC译码器

    0下载:
  2. QuartusII的环境下,采用Verilog语言,对π—旋转LDPC码进行了编译码仿真,并在matlab下进行了验证。
  3. 所属分类:源码下载

    • 发布日期:2012-04-19
    • 文件大小:10801628
    • 提供者:shuixiangyq
  1. Framer

    3下载:
  2. ISE平台下的verilog的QC-LDPC编码,经仿真没有问题-ISE platform verilog QC-LDPC coding, no problems by simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1118563
    • 提供者:kwiicsyy
  1. Realization_of_FPGA_for_LDPC_encoding

    0下载:
  2. 低密度奇偶校验码(简称LDPC码)是目前距离香农限最近的一种线性纠错码,它的直接编码运算量较大,通常具有码长的二次方复杂度.为此,利用有效的校验矩阵,来降低编码的复杂度,同时研究利用大规模集成电路实现LDPC码的编码.在ISE 8.2软件平台上采用基于FPGA的Verilog HDL语言实现了有效的编码过程,为LDPC码的硬件实现和实际应用提供了依据-Abstract:Low.density parity·check code(LDPC code)is a kind of linear eror
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:166294
    • 提供者:秦小星
  1. the-decoding-algorithm-of-ldpc

    1下载:
  2. ldpc译码算法介绍及fpga verilog系统方案设计,包括log_bp算法、min_sum算法、scaling_min_sum算法等-introducing the ldpc code decoding algorithm and the related system design,including the log_bp,the min_sum and the scaling_min_sum
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-14
    • 文件大小:81513
    • 提供者:类春阳
  1. ldpc-encode

    0下载:
  2. 深空通信中AR4JA码编码的研究与实现,AR4JA码是LDPC码的一种,文件中是Verilog语言的硬件实现。-Research and Implementation of the Deep Space Communications AR4JA coding, AR4JA code LDPC codes a hardware implementation of the Verilog language file.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:3565840
    • 提供者:liangliang
  1. LDPCtest

    1下载:
  2. ldpc编码器ru算法的verilog语言的完整实现,希望对您有用-ldpc encoder, RU, VERILOG,altera
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:73197
    • 提供者:geniuszh
  1. dvb_s2_ldpc_decoder_latest.tar

    0下载:
  2. 用于数字电视机顶盒的DVB-S2的LDPC编码的解码模块,verilog代码-For digital TV set-top boxes of DVB- S2 LDPC coding, decoding module of verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-14
    • 文件大小:22222853
    • 提供者:YUKAI ZHANG
  1. verilog_rtl

    0下载:
  2. 关于LDPC解码的verilog程序,包含设计代码和验证环境-LDPC decoding on verilog procedures, including the design code and verification environment
  3. 所属分类:Other systems

    • 发布日期:2017-04-29
    • 文件大小:64663
    • 提供者:chenxiaolei
  1. XOR_tree

    0下载:
  2. This source code is a check node unit for LDPC decoder. The language is Verilog HDL.
  3. 所属分类:3G develop

    • 发布日期:2017-05-17
    • 文件大小:4321025
    • 提供者:Lee Jeahack
  1. ldpc576

    1下载:
  2. 基于wimax协议的低密度奇偶校验码LDPC的VERILOG实现,亲测可用。-WiMAX protocol based on the low density parity check code VERILOG LDPC implementation, pro test available.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-07-18
    • 文件大小:546816
    • 提供者:kobe
  1. e60a9bd4-ef5c-4c89-bfb3-9da40d5e4aba

    0下载:
  2. 低密度校验码 ,很好用的代码,功能已经实现编码和译码(Low density parity check code, very good code, the function has been achieved encoding and decoding)
  3. 所属分类:matlab例程

    • 发布日期:2017-12-29
    • 文件大小:8192
    • 提供者:RubenJH
  1. gray_counter_vhd

    0下载:
  2. ldpc verilog code has been descr ipted in this program
  3. 所属分类:通讯编程

    • 发布日期:2018-01-07
    • 文件大小:1024
    • 提供者:2017ASH
  1. 760021

    0下载:
  2. 最近在做毕设,ldpc码的编解码实现,这个是verilog实现,()
  3. 所属分类:系统编程

    • 发布日期:2018-04-19
    • 文件大小:4096
    • 提供者:indicjtixn
  1. src

    3下载:
  2. 用verilog实现ldpc最小和译码算法(This code is for the decode of MS-algorithm based on LDPC.)
  3. 所属分类:其他

    • 发布日期:2018-04-15
    • 文件大小:49152
    • 提供者:w_w_m
  1. fujnwee654

    0下载:
  2. 最近在做毕设,ldpc码的编解码实现,这个是verilog实现,()
  3. 所属分类:进程与线程

    • 发布日期:2018-05-03
    • 文件大小:4096
    • 提供者:Rupptl
  1. ldpc_decoder_802_3an

    2下载:
  2. LDPC的编码模块和解码模块,实现802-3an协议的编码(The module of LDPC to implement the coding of the 802-3an protocol)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-05-24
    • 文件大小:1017856
    • 提供者:fengyuanzyt
« 1 2»
搜珍网 www.dssz.com