CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - tx-

搜索资源列表

  1. PWMfangbo

    0下载:
  2. 本程序为用单片机制作的占空比均匀间隔可调PWM发生器。占空比可调间隔 为0.1。即可取得占空比为0%,10%,20%……90%到100%的PWM波。该波的频率固定为1KH(周期1000微秒)。TX-1C学习板上的操作键:S2----占空比加 S3----占空比减(This procedure for the production of single-chip, duty cycle evenly spaced adjustable PWM generator. Adjustable dut
  3. 所属分类:单片机开发

    • 发布日期:2017-12-12
    • 文件大小:12195
    • 提供者:万俟子兮
  1. DobotDemoForSTM32

    2下载:
  2. 本 Demo 是基于 STM32F103VET6 芯片编写,因此使用本程序时需要用户自行配备一块 STM32F103VET6 开发板。(使用其他型号的芯片需要自行移植) 通讯的端口使用了机械臂扩展 10P 接口,接口的类型是 FC-10P,接口的定义如下图 7 所示。我们需要用 RX、TX、GND 这三个端口,如图 8 机械臂与开发板连接 RX->TX1, TX->RX1,GND->GND。(The Demo is based on STM32F103VET6 chip pre
  3. 所属分类:Windows编程

    • 发布日期:2017-12-26
    • 文件大小:296960
    • 提供者:TNSTH
  1. te7022

    0下载:
  2. TEL7022程序,TXT。TEL7022程序TEL7022程序(USB 2.0 Full-Speed compliant supported USB Audio Class 1.0  16/24 bit Resolutions supported  8/16/32/44.1/48/96 KHz sampling rates supported  2-input channels and 2-output channels supported by one I2S pairs
  3. 所属分类:其他

    • 发布日期:2017-12-21
    • 文件大小:2048
    • 提供者:haikang
  1. uart

    0下载:
  2. 基于verilog的fpga串口通信,rx,tx.两根线(Basend on verilog fpga uart tong xin)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-13
    • 文件大小:4002580
    • 提供者:巴拉望
  1. OTU_TXBLK

    0下载:
  2. cctv otu tx block vhdl source
  3. 所属分类:通讯编程

    • 发布日期:2017-12-26
    • 文件大小:1024
    • 提供者:seckim1
  1. Airoha.AB1100SF_FamilyMPTool_20140703_3.1.19.0

    0下载:
  2. 该模块主要用于短距离的音乐传输,可以方便地和笔记本电脑,手机, PAD 等数码产品的蓝牙设备连接,实现音乐的无线传输。如: 蓝牙音响 蓝牙耳机 蓝牙无线传输音频 蓝牙免提电话(13 PO1 Digital GPIO, default pull-high input FWD key 14 P04 Digital GPIO, default pull-high input. MUTE I/O or NFC detection pin 15 GND Power Ground 1
  3. 所属分类:其他

    • 发布日期:2017-12-17
    • 文件大小:549888
    • 提供者:rainbow1888
  1. TX-1BDS18B20

    0下载:
  2. 单片机控制的18b20测温程序,精确到小数点后一位,读取速度快。(SCM 18b20 temperature control procedures, accurate to one decimal point, read faster.)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-25
    • 文件大小:1024
    • 提供者:xiaoyao_0816
  1. new_simulation

    0下载:
  2. 通过时钟使普通的IO口模拟UART串口通讯(Use Timer_A CCR1 hardware output modes and CCR0 SCCI data latch // to implement UART function @ 2400 baud. Software does not directly read and // write to RX and TX pins, instead proper use of output modes and SCCI data // la
  3. 所属分类:单片机开发

    • 发布日期:2017-12-26
    • 文件大小:26624
    • 提供者:ASA2017
  1. SI4463串口透明传输程序和上位机程序

    0下载:
  2. 51单片机实现对无线模块的数据传输。上位机程序(The primary states of the Si446x are shown in Figure 6. The shutdown state completely shuts down the radio to minimize current consumption. Standby/Sleep, SPI Active, Ready, TX Tune, andRX tune are available to optimize the
  3. 所属分类:其他

    • 发布日期:2017-12-20
    • 文件大小:704512
    • 提供者:zmh18996345258
  1. sw_uart

    0下载:
  2. software uart driver. When hardware uart pins is occupied as other * functions, this software uart can output debug info. This software * uart only has TX function.
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2017-12-27
    • 文件大小:3072
    • 提供者:lyx88888
  1. rgb_to_mipi_with_rot170727

    0下载:
  2. RGB 并行数据接口转MIPI DSI的源码(RGB Parallel to MIPI DSI source code)
  3. 所属分类:其他

    • 发布日期:2017-12-30
    • 文件大小:31007744
    • 提供者:jasonchenjj
  1. mii_mac

    0下载:
  2. mii mac tx and rx,以太网mii接口收发(mii mac tx and rx Ethernet mii interface)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-28
    • 文件大小:5120
    • 提供者:冷酷到底
  1. TX-1C Proteus仿真板

    0下载:
  2. TX_1C 仿真文件,可以在线仿真,与pcb原理图相同(TX_1C Simulation file)
  3. 所属分类:其他

    • 发布日期:2017-12-24
    • 文件大小:140288
    • 提供者:`包子
  1. uart_test

    0下载:
  2. verilog实现UART收发功能,硬件平台为spartan 6,软件平台为ise14.7(verilog implement UART rx and tx function)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-23
    • 文件大小:358400
    • 提供者:雨珂
  1. SI4463收发程序

    1下载:
  2. 4463收发程序 SPI 可实现稳定收发 基于STM32F103C8T6(4463 TX RX code MCU IS STM32F103C8T6)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-27
    • 文件大小:3221504
    • 提供者:伸缩式
  1. uhd-tx-code

    0下载:
  2. 收集整理的usrp 发送程序资料和usrp硬件简绍(Collection and collation of USRP sending program information and USRP hardware Brief)
  3. 所属分类:Linux/Unix编程

    • 发布日期:2017-12-31
    • 文件大小:28672
    • 提供者:liu-smile
  1. TX

    0下载:
  2. sample code for transmit with SI4432
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-31
    • 文件大小:4096
    • 提供者:farahi
  1. TX_IP_Source

    0下载:
  2. 串口发送ip核,配合 nios 使用,减少资源开支。(uart transmit TX_IP_Source)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-01
    • 文件大小:9216
    • 提供者:haohmf
  1. Arduino_20150411

    1下载:
  2. ArduCAT是Arduino Compatible 开发板。本产品力图通过Arduino技术,大大简化EtherCAT从站的开发。ArduCAT开发板包含两路可用于EtherCAT实时以太网的100BASE-TX网口,所以它特别适合基于PC的自动化方案。ArduCAT使用ATMega1280 处理器,兼容Arduino Mega开发板,并具有完全相同的引脚定义。板上采用开关稳压器提供5V 或3.3V的2A直流输出,且发热甚微。除配套的开源Arduino库外,还配有从站应用层代码自动生成工具,
  3. 所属分类:开源硬件

    • 发布日期:2018-01-01
    • 文件大小:514048
    • 提供者:小二了
  1. TX+光控

    1下载:
  2. 这是用STC15MCU写的第一个简单光控功能程序(for STC15L104E CDS control)
  3. 所属分类:单片机开发

    • 发布日期:2018-01-02
    • 文件大小:43008
    • 提供者:yangyang123123
« 1 2 ... 44 45 46 47 48 4950 »
搜珍网 www.dssz.com