CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - verilog 图

搜索资源列表

  1. Lab11_flipflopcs

    0下载:
  2. 带有置位和清零端的边沿D触发器的设计与实现.带有置位和清零端的边沿D触发器的逻辑图,本实验中用Verilog语句来描述。-Design and implementation of an edge D flip-flop with set and reset end. Logic diagrams with edge D flip-flop with set and reset the end of the Verilog statement, used in this experiment to
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:168139
    • 提供者:penglx1803
  1. SDRAMverilog

    0下载:
  2. SDRAM verilog 串口实例 带有RTL图 及详细的注释-SDRAM verilog RTL serial examples with diagrams and detailed notes
  3. 所属分类:Com Port

    • 发布日期:2017-05-12
    • 文件大小:2812707
    • 提供者:时迁
  1. fft-IPcore

    0下载:
  2. verilog编写,基于ISEfft的ip核研究,数据生成采用matlab,有仿真截图-verilog written, ip nuclear research ISEfft based on data generated using matlab, there are simulation screenshot
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6795209
    • 提供者:段彦亮
  1. liangdu

    0下载:
  2. 通过Verilog程序在FPGA上实现按键切换的亮度变化。包含源代码和原理图-Verilog program achieved through changes in brightness button to switch on the FPGA. Contains the source code and schematics
  3. 所属分类:Special Effects

    • 发布日期:2017-04-07
    • 文件大小:24058
    • 提供者:何叶
  1. second

    0下载:
  2. 利用Verilog HDL语言进行数字系统设计实现秒表的设计,涵盖原理图设计、文本设计以及进行波形仿真,并有对应的报告。报告中还包括BCD/7段译码集成电路74LS47仿真实验、单管分压式稳定工作点偏置电路仿真实验和8路智力竞赛抢答器电路设计-Use Verilog HDL language design and implementation of digital systems design stopwatch, covering schematic design, text, design,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:466275
    • 提供者:文闯
  1. HDB3-encoderauncoder

    0下载:
  2. HDB3编码器与解码器,以及RTL图,使用Verilog HDL实现-HDB3 encoder and decoder, and RTL diagram, use Verilog HDL to implement
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:183888
    • 提供者:zhouyu
  1. suzipaobiao

    0下载:
  2. 这是用verilog编写的数字跑表 ,里面包含有程序和仿真图 通过编译-It is written in verilog digital stopwatch, which contains a program to compile and simulation map
  3. 所属分类:ELanguage

    • 发布日期:2017-04-27
    • 文件大小:164066
    • 提供者:陈豪
  1. LCD1602

    0下载:
  2. Verilog 语言 CPLD 控制液晶自定义输出程序,可仿真,可转换电路原理图。-Verilog language CPLD control LCD custom output procedures, can be simulated, can be converted to circuit schematics.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:369055
    • 提供者:王志
  1. i2s_input

    0下载:
  2. 基于FPGA的i2s接口输入模块设计,其中有原理图和verilog源码,可在Quartus环境下进行仿真-FPGA-based i2s interface input module design, including schematics and verilog source code, can be simulated in Quartus environment
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:40068
    • 提供者:yuda
  1. waveled

    0下载:
  2. verilog实现流水灯功能:从左到右,然后从右到左,中间到两边,包含验证图代码、文档具体描述-verilog to achieve water lights function: left to right, then right to left, in the middle to both sides, including the verification FIG code, documentation, detailed descr iption
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:2857908
    • 提供者:张杰
  1. GMSK

    2下载:
  2. GMSK文件里面是一些关于GMSK和直接序列扩频综合实现的论文,包含MATLAB和Verilog实现方法。 GMSK_matlab文件里是GMSK调制和1bit差分解调的MATLAB实现程序。里面有信噪比为-10~12dB时,运行好的误码率数据mat文件,可直接出图。-GMSK file includes the paper about GMSK modulation and demodulation. GMSK_matlab file includes the matlab code
  3. 所属分类:Post-TeleCom sofeware systems

    • 发布日期:2017-05-06
    • 文件大小:10783744
    • 提供者:郭秋瑾
  1. 4.5fenpingqi

    0下载:
  2. 基于FPGA的关于verilog语言的4.5分频器及其仿真波形图-FPGA based on verilog language frequency divider and its simulation waveform in figure 4.5
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:77086
    • 提供者:李凯
  1. pinlvji2

    0下载:
  2. verilog语言,quartus下实现频率计,内附原理图以及详细说明。 一共6个.v模块,其中一个是top,其余都是子模块。 测量频率的原理很简单,对一定时间内待测信号的上升沿的个数进行记录即可。 单位khz,四位数码管,小数点可以处于其中任何一位,假设数码管由高到低定义成HEX3,HEX2,HEX1,HEX0,那么当hex0的小数点点亮时,表示xxxx khz,hex1的点亮时,xxx.x khz,依次类推。 为保证精度,当时xxxx khz时,最小分辨率应该是1khz,所以
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:8601522
    • 提供者:maxiaobo
  1. FPGA_AND_ASIC

    0下载:
  2. 首先要知道自己在干什么?数字电路(fpga/asic)设计就是逻辑电路的实现,这样子说太窄了,因为asic还有不少是模拟的,呵呵。我们这里只讨论数字电路设计。实际上就是如何把我们从课堂上学到的逻辑电路使用原理图(很少有人用这个拉),或者硬件描述语言(Verilog/VHDL)来实现,或许你觉得这太简单了,其实再复杂的设计也就是用逻辑门电路搭起来的。你学习逻辑电路的时候或许会为卡拉图,触发器状态推倒公式而感到迷惑,但是其实有一点可以放心的是,实际设计中只要求你懂得接口时序和功能就可以了,用不着那么
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-15
    • 文件大小:19456
    • 提供者:吕攀攀
  1. 8位数字显示的简易频率计

    1下载:
  2. (1)能够测试10HZ~10MHZ的方波信号; (2)电路输入的基准时钟为1HZ,要求测量值以8421BCD码形式输出; (3)系统有复位键; (4)采用分层次分模块的方法,用Verilog HDL进行设计,并对各个模块写出测试代码; (5)具体参照说明文档(包含源代码,仿真图,测试波形,详细的设计说明)(A square wave signal capable of testing 10HZ~10MHZ; (2) the reference clock input by the ci
  3. 所属分类:VHDL/FPGA/Verilog

  1. AD7606_1

    0下载:
  2. ad7606采集Verilog 编写的模块 有仿真图(ad7606 Verilog ad7606 Verilog)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-18
    • 文件大小:16539648
    • 提供者:maymumu
  1. 74HC4511 7段显示译码器

    0下载:
  2. 译码器,七段显示译码器,内含波形图,测试代码和源码,以及.v文件,verilog编写,ise平台运行(Decoder, seven segment display decoder, contain waveform, test code and source code, as well as.V file, Verilog writing, ISE platform running)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-28
    • 文件大小:190464
    • 提供者:doubleOlive
  1. carlight

    0下载:
  2. FPGA汽车尾灯,运用verilog hdl语言,包含源代码,和硬件图,(Design of FPGA taillight)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:234496
    • 提供者:Mineoo
« 1 2 3 4 5»
搜珍网 www.dssz.com