CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 十进制

搜索资源列表

  1. 7

    0下载:
  2. 调用总共四个计数器(两个六进制,两个十进制,六进制计数器可由实验五的程序做简单修改而成)串起来构成异步计数器,计数器的值,通过实验九串行扫描输出。用1Hz连续脉冲作为输入,这样就构成一个简单的1h计时器。带一个清零端。 输入:连续脉冲,逻辑开关;输出:七段LED。 -Called a total of four counters (two six-band, two decimal, hexadecimal counter by six experimental procedure
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:6380
    • 提供者:李小勇
  1. cal

    0下载:
  2. 实现以下功能。 1、4*4键盘输入,用按键输入数和运算符号。 1 2 3 + 4 5 6 - 7 8 9 * C 0 = ∕ 2、数码管显示运算过程和结果(十进制数),负号用一个LED灯显示。 3、具有清零和复位功能。 4、具有连续运算功能。 5、具有简易报错和提示功能。 该项目非常适合初学者,文档说明,原理图,流程图,程序源码都包含在内。-To achieve the following functions. 1,4* 4 keyboard,
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-03
    • 文件大小:727553
    • 提供者:
  1. cout_asyn

    0下载:
  2. 基于verilog的计数器设计,本例程将实现四位异步二进制计数器的功能,同时给出了同步二进制计数器和同步十进制计数器的VerilogHDL程序-Verilog counter based design, this routine will achieve the functions of four asynchronous binary counter, synchronous binary counter is given and synchronous decimal counter Ver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:210965
    • 提供者:李保亮
  1. MCS51

    0下载:
  2. 单片机子程序,有各种数据格式的各种数学运算.(1)按当前流行的以 IBM PC 为主机的开发系统对汇编语言的规定,将原子程序库 的标号和位地址进行了调整,读者不必再进行修改,便可直接使用。 (2)对浮点运算子程序库进行了进一步的测试和优化,对十进制浮点数和二进制浮 点数的相互转换子程序进行了彻底改写,提高了运算精度和可靠性。 (3)新增添了若干个浮点子程序(传送、比较、清零、判零等),使编写数据处理 程序的工作变得更简单直观。-SCM subroutine, there
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:16330
    • 提供者:倪彦
  1. taxi

    0下载:
  2. 基于FPGA的出租车计费器 所要设计的出租车计价器,要求能够显示里程数和乘客应付的费用,其中里程数精确到0.1km,乘客应付的费用精确到O.1元,显示必须以十进制的形式来进行。出租车的计费标准为:起步价6元,里程在3 km以内均为起步价;里程在3~7 km之间时,每行驶1 km增加1.6元;超过7 km时,每行驶1 km增加2.4元。-FPGA-based taxi meter by meter taxi to design, requires the ability to show mi
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-01
    • 文件大小:199899
    • 提供者:wangzexiang
  1. jishuqi

    0下载:
  2. 十进制计数器的veriloghdl语句编程 可以运行-Decimal counter programming to run veriloghdl statement
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:6107
    • 提供者:赵小猫
  1. cont10_v.sym

    0下载:
  2. 十进制计数器既可采用QuartusII的宏元件74160,也可用VHDL语言设计。在项目编译仿真成功后,将设计的十进制计数器电路设置成可调用的元件cont10_v.sym,用于4位十进制计数器的顶层设计。-Decimal counter can use QuartusII macro components 74160, also available VHDL language design. After the success of the project compiled simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:869
    • 提供者:常云飞
  1. PinLvJiShuQi

    0下载:
  2. 数字频率计VHDL程序与仿真 功能:频率计。具有4位显示,能自动根据7位十进制计数的结果,自动选择有效数据的 --高4位进行动态显示。小数点表示是千位,即KHz。 --最后修改日期:2009.4.9。-frequency counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:99892
    • 提供者:梁辰
  1. C8051FO20

    0下载:
  2. C8051F020系列:电机测速 定时器二十进制转换 加法 18B20测温 步进电机 查表 串口通讯.-C8051F020 Series: motor speed timer 2 decimal conversion additive 18B20 temperature stepping motor look-up table serial communication.
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:289934
    • 提供者:hdm
  1. secondclock

    0下载:
  2. 本设计是基于altera公司的ep2s750FPGA芯片的秒表计数器,其中包含六进制计数器和十进制计数器和万分频器等模块。-This design is based on the company s ep2s750FPGA altera stopwatch counter chip, which contains six binary counter and decimal counter and 10,000 divider modules.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:334637
    • 提供者:游飞
  1. answermachine5

    0下载:
  2. 这次设计的抢答器主要四部分组成,由优先编码器,寄存器和译码器组成的抢答电路,十进制计数器组成的倒计时电路,555定时器组成的秒脉冲发生器,十六进制计数器组成的计数器。-The design of the Responder mainly of four parts, by the priority encoder, register, and the composition of the answer in the decoder circuit, consisting of decimal c
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:311335
    • 提供者:小王珊珊
  1. C8051

    0下载:
  2. 单片机辅助计算器,数码管显显值计算,单片机端口值16进制计算,二进制转十六进制,十进制转二进制等-Microcomputer Assisted calculator, a digital control value was significant, SCM port value of 16 hexadecimal calculations, the binary switch to hexadecimal, binary, etc. decimal switch
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:15329
    • 提供者:youtan
  1. main

    0下载:
  2. 十六进制转十进制,并转化为可以显示的字符,可以应用于各种数据转化显示-Turn hex decimal, and into the characters can be displayed, can be applied to a variety of data into display
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:727
    • 提供者:zw
  1. Digitalclock

    1下载:
  2. 数字时钟 设计一个能显示日期、小时、分钟、秒的数字电子钟,并具有整点报时的功能。 由晶振电路产生1HZ标准的信号。分、秒为六十进制计数器,时为二十四进制计数器。 可手动校正时、分时间和日期值。 -Digital Clock
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:18617
    • 提供者:xwj
  1. Mimasuo

    0下载:
  2. 设计要求(黑体小四,1.5倍行距,段前0.5行) 1)密码预先在内部设置,可以设置任意位密码,这里采用6位十进制数字作为密码; 2)密码输入正确后,密码器将启动开启装置。这里密码器只接受前6位密码输入,并以按键音提示,多余位数的密码输入将不起作用; 3)允许密码输入错误的最大次数为三次, 密码错误次数超过三次则进入死锁状态, 并发出警报 4)报警后,内部人员可以通过按键SETUP使密码器回到初始等待状态; 5)密码器具有外接键盘,可以用来输入密码和操作指令; -Desi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:54943
    • 提供者:GuTao
  1. ir_decode

    0下载:
  2. /--文件夹资料说明 1、backups:里面是我做程序时的一些备份,写程序一定不要忘记备份。 2、ir_1602: “一线工人”的解码程序,可用LCD1602显示二进制及十进制键值。 3、ir_1602_time_edit: 时间显示,并可用红外遥控设置时间的程序 4、ir_12864_bing: 采用LCD12864做显示的解码程序。 5、ir_1602_decode_lihong: 我自己写的解码程序,并例化成头文件形式。 6、ppt_control: 用红外遥控通
  3. 所属分类:SCM

    • 发布日期:2017-05-17
    • 文件大小:5000866
    • 提供者:雪狼
  1. 74hc4017

    0下载:
  2. 实现的是扭环形十进制计数器,用verilog HDL 语言,在Actel公司提供的LiberoFPGA开发环境下实现,代码经过验证,可在ModelSim中仿真 -Ring is twisted to achieve a decimal counter, using verilog HDL language, Actel offers the LiberoFPGA development environment, the code is validated, the simulation in t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:484013
    • 提供者:kmao
  1. shiwenkongzhi

    0下载:
  2. ⑴ 温度设定范围为40~90℃。 ⑵ 环境温度降低时(例如用电风扇降温)温度控制的静态误差≤1℃。 ⑶采用适当的控制方法,当设定温度突变(由40℃提高到60℃)时,减小系统的调节时间和超调量。 ⑷用十进制数码管显示水的实际温度。 ⑸ 在设定温度发生突变(由40℃提高到60℃)时,自动打印水温随时间变化的曲线。 -1 the temperature setting for 40 ~ 90 ° c range. 2 environment temperature decr
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:402891
    • 提供者:张晓春
  1. ADC0809

    0下载:
  2. 单片机代码。将实验板中两路模拟电压信号转换成数字量,并以十进制形式显示,前3位显示第二通道信号,后3位显示第一通道信号-Microcontroller code. The experimental board two analog voltage signals into digital signals and display in decimal form, the first three shows the second channel signal, after the three sho
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:706
    • 提供者:贺欧
  1. Decimaladdtionandsubtractioncounter

    0下载:
  2. 十进制加减计数器,用C51编写,用单片机实现加减计数-Decimal addition and subtraction counter, with the C51 preparation, counting addition and subtraction with MCU
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:1079
    • 提供者:高娃
« 1 2 ... 6 7 8 9 10 1112 13 14 15 16 ... 25 »
搜珍网 www.dssz.com